PLACE IN RETURN BOX to remove this checkout from your record. TO AVOID FINES mum on or before date duo. DATE DUE DATE DUE DATE DUE MSU Is An Affirmative Action/Equal Opportunity Institution H... CV4!— m.“ MACROSCOPIC PROPERTIES OF A MULTIPOLAR ELECTRON CYCLOTRON RESONANCE MICROWAVE-CAVITY PLASMA SOURCE FOR ANISOTROPIC SILICON ETCHING Jeffrey Alan Hopwood A DISSERTATION Submitted to Michigan State University in partial fulfillment of the requirements for the degree of DOCTOR OF PHILOSOPHY Department of Electrical Engineering 1990 Alth studied 9 plasma-re: in E3. ; Ian-Ufa: ‘ gr investiggz the a:is:1 Plasma-dis T»: S ©055big ABSTRACT MACROSCOPIC PROPERTIES OF A MULTIPOLAR ELECTRON CYCLOTRON RESONANCE MICROWAVE-CAVITY PLASMA SOURCE FOR ANISOTROPIC SILICON ETCHING By Jeffrey Alan Hopwood Although electron cyclotron resonance (ECR) plasmas have been studied extensively in the context of nuclear fusion, many aspects of plasma-reactor design, discharge physics, and plasma processing physics in ECR plasmas which are specifically engineered for plasma-aided manufacturing are not well understood. This work experimentally investigates the discharge characteristics and develops a process for the anisotropic etching of silicon using a novel microwave-cavity ECR plasma-disk reactor (MPDR). This dissertation begins with an experimental investigation of the interaction of 2.45 GHz microwave radiation within the microwave cavity with the discharge. Electric field strengths within the cavity typically range from 7 kV/m to 22 kV/m and the E-field within the discharge is estimated at 7 kV/m for a 0.9 mTorr, 20 sccm argon discharge absorbing 260 W of microwave power. The plasma diffuses into a downstream processing chamber where the ion density and the electron and ion energy distribution functions have been measured. Peak ion densities in the processing region are 6x1011 cm-3 in a 0.9 mTorr, 20 sccm argon, 260 watt discharge. The alignment of the ECR magnetic field and the cavity mode is an important factor in low power discharge stability. The spatial distribution of ions in the system shows that the HPDR d. and the the procosr electron pr Unusual :21: collisions ion emergic chamber 5}.- aver 38‘! ET}: 0 S the MPDR discharge region is a free-fall diffusion dominated discharge and the processing region is an ambipolar diffusion dominated discharge. Electron energy distribution functions (EEDFS) measured in the processing zone show no signs of potentially-damaging, high energy electron populations. In argon the EEDFs are nearly Maxwellian. Unusual EEDF shapes in SF plasmas are attributed to inelastic electron 6 collisions rather than ECR energy transfer processes. The spectrum of ion energies impinging on a conducting substrate in the processing chamber shows a divergent ion flux emerges from the discharge. The average energy of the ions varies from 15-35 eV. Actinometry reveals a uniform distribution of radical fluorine and an Ar+ density of 1.2x1012 cm-3 in the ECR regions. Anisotropic plasma etching of silicon in SF6+Ar is demonstrated at rates of 0.3 um/minute. Copyright by JEFFREY ALAN HOPWOOD 1990 ACKNOWLEDGEMENTS Education is a team effort in which an individual earns a degree through the labors of many people. The author wishes to thank Dr. Jes Asmussen Jr. for his guidance and support, and for providing an environment in which students may develop professional as well as academic skills. Special thanks is extended to Dr. Donnie K. Reinhard for providing countless hours of consultation on this project. Additional thanks is given to Dr. Jerome J. Cuomo and Dr. Stanley Hhitehair of IBM for their support of this work and to Dr. Timothy Grotjohn and Dr. Michael Dubson for their comments on the manuscript. This work was supported in part by grants from International Business Machines, Corp., Wavemat. Corp., and the National Science Foundation. F FTC Hrtivat !’ 1.3 Disser: IST 3 1.2 Researc 1.1 L .. .. . . . 4.. 1 p. 3L 2 a .4 .J a «A 2 «J Au. um .14 2 3 I1 . a p. t. . . , 3 . . . C .t E 9.54.4 )c a fiqu.J 1o 3 H“ R\ . . . . IA . . . . Ad I C 2 2 2 2 P 2 2 2 2 C I 2 3 4 2. 2. 2. 2. TABLE OF CONTENTS LIST OF TABLES ........................................................ x LIST OF FIGURES ...................................................... xi Chapter 1 Introduction 1.1 Motivation for ECR Plasma Research' ............................... 1 1.2 Research Goals ................................................... 2 1.3 Dissertation Outline ............................................. 3 Chapter 2 ECR Microwave Plasma Sources and Plasma Etching: A Review 2.1 Introduction ..................................................... S 2.2 Comparison of ECR Plasma Sources ................................. 8 2.2.1 Divergent Field ECR Plasma Sources ..................... 9 2.2.2 The Distributed ECR Plasma Source ..................... 12 2.2.3 Microwave Plasma Disk Reactor ......................... 14 2.2.4 A Performance Comparison of ECR Plasma Sources ........ 16 2.2.4.1 Ion Density and Uniformity ....................... 17 2.2.4.2 Efficiency ....................................... 20 2.3 Plasma Etching of Silicon ....................................... 21 2.3.1 Reaction of Fluorine Radicals with Silicon ............ 24 2.3.2 The Role of Ion Bombardment in Anisotropy ............. 25 2.3.3 CF4 + 02 Etching Chemistry ............................ 30 2.3.4 An SF6 Etching Model .................................. 32 2.4 Concluding Remarks .............................................. 33 vi fin“ Tu“ . .. . I..33333 1.2 3.3 .d ... Ad .wI H“ PL 345 3.3.3. 9?? 01:50) ????? U'InbUNH UIUIU'ISJ! DUNH Chapter 3 The Multipolar Electron-Cyclotron-Resonance Microwave-Cavity Plasma Processing System Introduction .................................................... 34 The ECR Plasma Source ........................................... 34 3.2.1 Electron Cyclotron Resonance .......................... 35 3.2.2 The Microwave Cavity .................................. 37 3.2.3 The Multipolar ECR Magnetic Field ..................... 37 3.2.4 Baseplate Description ................................. 40 3.2.5 The Processing Chamber ................................ 41 Vacuum and Gas Handling Apparatus ............................... 42 Microwave Apparatus ............................................. 4S Concluding Remarks .............................................. 47 Chapter 4 Microwave Electric Fields in the MPDR Introduction .................................................... 48 Microwave Electric Field Measurement Technique .................. 49 Identification of the Cavity Electromagnetic Modes .............. S3 The Strength of the Cavity Electric Field ....................... 62 Concluding Remarks .............................................. 65 Chapter 5 Double Langmuir Probe Measurements of Ion Density Introduction .................................................... 67 Double Langmuir Probe Theory .................................... 69 Possible Sources of Error in Langmuir Probes .................... 74 Experimental Determination of Ion Density ....................... 76 5.4.1 Probe Design ......................................... 76 5.4.2 Mechanical Manipulation of the Probe .................. 77 5.4.3 Data Acquistion of the Probe I-V Characteristic ....... 78 5.4.4 Reduction of the Probe I-V Characteristic ............. 80 Experimental Ion Densities ...................................... 82 5.5.1 Transient Response .................................... 82 5.5.2 Gas Pressure and Gas Flow Rate ........................ 84 5.5.3 Microwave Power Absorption ............................ 86 5.5.4 Cavity Mode and B-field Geometry ...................... 88 The Spatial Distribution of Ions ................................ 92 5.6.1 Processing Chamber Ion Density with the Grid .......... 93 5.6.2 Processing Chamber Ion Densities without the Grid ..... 97 5.6.3 An Ambipolar Diffusion Model .......................... 99 VII Elei 6.1 Intro 9 -w . a 7.7L was; «A 3.. «J 0.1 (J .m333nJ3 u Awgo,o Ao,o 6.4 C0351; by r I 2 3 4 r: m. . . r. _ MM. ». 2 2 1L 2 a... 3 3 o . . .c . . A I b 8 8 8 8 Y1 8 8 A 8 co. co. co 7’7’7’." H hUNH Chapter 6 Electron Energy Distribution Functions and Plasma Potential: The Single Langmuir Probe Introduction .................................................... 109 Measurement of the EEDF: Theory and Experiment ................ 110 6.2.1 Theory of Measurement ................................ 110 6.2.2 Experimental Configuration ........................... 111 EEDF Results and Discussion .................................... 113 6.3.1 Comparison with Maxwellian and Druyvesteyn EEDFs ..... 114 6. 3. 2 Pressure Dependence of the EEDF ...................... 116 6. 3. 3 Spatial Variation of the EEDF ........................ 121 6. 3. 4 The EEDF vs. Power ................................... 128 6 3.5 EEDFs in Etching Gas Mixtures ........................ 131 Concluding Remarks ............................................. 135 Chapter 7 The Distribution of Ion Energies Introduction ................................................... 137 Design of the Gridded Ion Energy Analyzer ...................... 139 Experimental Measurement of the IEDF ........................... 144 The IEDF: Results and Discussion .............................. 146 7.4.1 The Effect of Substrate Bias ......................... 146 7.4.2 Spatial Dependence of IEDF ........................... 148 7.4.3 Power Dependence of the IEDF ......................... 150 7.4.4 IEDFs in Etching Gases ............................... 152 Concluding Remarks ............................................. 152 Chapter 8 Emission Spectroscopy and Actinometry Introduction ................................................... 155 Experimental Apparatus ......................................... 156 8.2.1 Monochromator ........................................ 156 8.2.2 Computer Control and Data Acquistion ................. 158 8.2.3 Spectral Intensity ................................... 158 8. 2. 4 Fiber Optic Bundle ................................... 159 Identification of Species ...................................... 160 8.3.1 The Argon Spectrum ................................... 160 1 3.2 The Spectrum of SF6/Ar Etching Plasmas ............... 162 Actinometry .................................................... 165 8.4.1 Theory of Actinometry ................................ 165 8. 4. 2 Possible Sources of Error in Actinometry ............. 167 Actinometry Results and Discussion ............................. 168 1 5.1 The Concentration of Fluorine vs. SF6 flow fraction ..169 8. 5.2 Spatial Distribution of Fluorine in the Discharge ....171 8. 5. 3 The Spatial Distribution of Argon Ions ............... 173 Concluding Remarks ............................................. 177 viii u‘c‘a‘u‘ .: C r. a. .. .. I. . . .- . . . rd _ . . . . ..H . . a. .m .‘u ‘1 It 14 11 I q I 1 a o. wrz 7.. 2 7.. S 3 «J 3 «J 3 T CU . 1. . .. _ . a. . . . . nu .. . . . . DC . . . . . 3 Q~ av Au .9 h... nu «v F. P00 0 A}. my t. v... D 9 9 9 Q, in 9 9 9 9 Q, by I «a. a. u. .. 1.. 1.. c... 1.. Y. AU 1 2 3 4. I 2 hi 0!. Q0. 01. 9. O O ‘4» I 1 UL Chapter 9 Anisotropic Plasma Etching of Silicon 9.1 Introduction ................................................... 179 9.2 Experimental Techniques ........................................ 183 9.2.1 Etch Sample Preparation .............................. 183 9.2.2 Etching Procedure .................................... 184 9. 2. 3 Evaluation of Etching ................................ 185 9. 2. 4 Schottky Barrier Fabrication and Evaluation .......... 187 9.3 Results and Discussion ......................................... 189 9.3.1 Etching with CF4 ..................................... 189 9.3.1.1 The Effect of Microwave Power ................... 190 9.3.1.2 The Effect of Discharge Pressure ................ 192 9.3.1.3 The Role of Wafer Bias .......................... 195 9.3.2 Etching in Dilute Mixtures of SF6 in Argon ........... 198 9.3.2.1 A Comparison Between SF6 and CF4 Etching ........ 198 9.3.2.2 SF6/Ar Mixing Ratio ............................. 199 9.3.2 3 The Role of Argon Partial Pressure .............. 204 9.3.2.4 Possible Sources of Anisotropy .................. 204 9.3.2.5 Etching without the Microwave Confinement Grid. .207 9.3.3 Etching Uniformity ................................... 213 9.3.1 1 Uniformity with Microwave Confinement Grid ...... 213 9.3.3 2 Etch Rate vs. Ion Density ....................... 215 9.3.3.3 Uniformity with No Microwave Confinement Grid ...217 9. 3.3.4 Summary of Etching Uniformity ................... 217 9.3.4 Contamination: Auger Electron Spectroscopy Study ..... 219 9.3.5 Contamination and Damage: Schottky Barriers .......... 223 9.3.5.1 SBD Performance Parameters ...................... 223 9.3.5.2 Evaluation of SBD Performance ................... 226 9.4 Concluding Remarks ............................................. 232 Chapter 10 Summary of Results and Recommendations for Future Research 10.1 Summary of Significant Results ................................ 233 10.1.1 Characterization of Microwave Electric Fields ....... 234 10.1.2 Ion Density Measurements, Plasma Stability, and Modeling ........................................ 234 10.1.3 Electron Energy Distribution Functions .............. 236 10.1.4 Ion Energy in the MPDR .............................. 236 10.1.5 Emission Spectroscopy ............................... 237 10.1.6 Silicon Etching. Contamination, and Damage .......... 238 10.2 Recommendations for Future Research ........................... 239 10.2 1 Investigation of dimensionally scaled MPDRs ......... 239 10.2 2 Modeling of the Discharge Chamber ................... 240 10.2 3 Improved Design of the Processing Chamber ........... 240 10.2 4 Further Work in Plasma Etching ...................... 241 LIST OF REFERENCES .................................................. 244 ix n A .5 ’4 9 Tatl Table 6 ‘ I. he 74 1 E Table Table Table Table Table Table Table Table LIST OF TABLES Comparison of ECR Plasma Sources ...................... 19 Watts per Uniform Beam Ampere in ECR Sources .......... 21 Comparison of Er(r,¢,z) in TE211 and TE311 Modes ...... 65 Comparison of Electron Temperature from Double Langmuir Probes and Average Electron Energy as Determined from the EEDF ............................. 124 The Ionization Frequencies of'Argon and SF6 Numerically Computed from the Experimental EEDF ...... 135 Average Ion Energy and FNHH of the IEDF vs. Power ....150 Surface Composition after SF6 Etching ................ 220 Schottky Barrier Performance ......................... 227 "1 ‘4' 2’? ‘1 0 h Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure .1a .1b .2a .2b .3a .3b LIST OF FIGURES A Generic Divergent Field ECR Plasma Source ........... 10 The Distributed ECR Plasma Source ..................... 13 Comparison of Planar and Trench MOS Capacitors ........ 23 Simple Model of Anisotropic Etching [38] .............. 27 Ion Induced Damage (a) and Sidewall Passivation (b) Anisotropic Etching Models [63] ....... 29 Cross Section of the Microwave Plasma Disk Reactor (MPDR) and Processing Chamber ................. 38 Cross Section A-A of the MPDR Baseplate ............... 39 Vacuum and Gas Handling Components of the MPDR Plasma Processing System ......................... 43 The Microwave Power Source, Circuit, and Cavity ....... 46 Experimental Measurement of Radial E-Field ............ 50 E-Field Probe Antennas and Sampling Ports ............. 51 Theoretical and Experimental ¢-Dependence of the TE Mode ..................................... 54 211 Theoretical and Experimental z-Dependence of the TE211 Mode ..................................... 55 Theoretical and Experimental ¢-Dependence of the TE311 Mode ..................................... 56 Theoretical and Experimental z-Dependence of the TE311 Mode ..................................... 57 xi Figure Figure Figure Figure Figure Figure Figure Figure Ifigure Figure Figure lBUre Figure I ‘Zure ‘- Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure .4a .4b .5a .5b .10 .11 .12 Experimental ¢-Dependence of a Hybrid Cavity Mode and a Least Squares Fit (n=0,1,2) ................ 58 Theoretical and Experimental z-Dependence of a Hybrid Cavity Mode (q=1) ......................... 59 Experimental ¢-Dependence of a Hybrid Cavity Mode with a Least Squares Fit (n=0,1,2) ............... 60 Theoretical and Experimental z-Dependence of a Hybrid Cavity Mode (q=1) ......................... 61 Radial E-Field Strength vs. Pressure and Microwave Power at r=8.9 cm, ¢=903 and z=4.4 cm ................. 63 Double Langmuir Probe and Circuit Inset: The Origin of the Effective Probe Area Due to the Plasma Sheath .............................. 70 Typical I-V Curve of a Double Langmuir Probe .......... 71 Data Acquisition Circuit for the Double Langmuir Probe .......... . .............................. 79 Turn-on Transient of the MPDR Ion Density ............. 83 Ion Density vs. Pressure and Gas Flow ................. 85 Argon Ion Density vs. Microwave Input Power for TE mode with Octapole Magnetic Field (0), 211 TE311, Hexapole (A), TE211, Hexapole (0), and TE311, Octapole B-Field (a) ........................... 87 Electric Field Lines for the TE211 and TE311 Cylindrical Cavity Electromagnetic Modes .............. 89 Preferred Alignment of the ECR B-Field and the Microwave Cavity Electric Field (TE211 mode and an octapole static magnetic field) .................... 91 Spatial Variation of Argon Ion Density in the MPDR Processing Chamber (with grid in place) ............... 94 Ion Density in a SF6 (4 sccm) + Ar (16 sccm) Plasma ...96 Radial Variation of Argon Ion Density in the MPDR Processing Chamber (with grid removed) for d=0, 1, 2, and 4 cm below the Discharge ........... 98 Downstream Argon and Oxygen Ion Density vs. Distance below the Discharge, d ...................... 100 xii Fig' ure Fi gure Figu' .e Fig ure Fig“ T8 Fig" -re Fig ure Fi gure F igure Fig ure Fi gure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure 6.10a 6.10b 7.1 Comparison of an Ambipolar Diffusion Model for the Spatial Variation of Ion Density with Experimental Measurements (see text) ................. 107 Circuit for the Measurement of the EEDF .............. 112 Comparison of the Measured EEDF in Argon with Maxwellian and Druyvesteyn Distributions ........ 115 Argon EEDFs Measured in the MPDR Processing Chamber vs. Discharge Pressure ....................... 117 Plasma Potential and Average Electron Energy vs. Argon Discharge Pressure ............................. 118 Oxygen EEDFs Measured in the MPDR Processing Chamber vs. Discharge Pressure ....................... 119 Plasma Potential and Average Electron Energy vs. Oxygen Discharge Pressure ............................ 120 Argon EEDFs Measured in the MPDR Processing Chamber vs. Downstream Position, d ................... 122 Argon Plasma Potential and Average Electron Energy vs. Downstream Position, d .................... 123 Oxygen EEDFs Measured in the MPDR Processing Chamber vs. Downstream Position, d ................... 125 Oxygen Plasma Potential and Average Electron Energy vs. Downstream Position, d .................... 126 Radial Variation of the Average Electron Energy of an Argon Discharge in the Processing Chamber ...... 127 The Spatial Variation of the Argon Plasma Potential in the Processing Chamber .................. 129 Argon Plasma EEDFs vs. Microwave Input Power ......... 130 Processing Chamber EEDFs as a Function of SF6 Flow Fraction in SF6+Ar Discharges ................... 132 SF6+Ar Plasma Potential and Average Electron Energy vs. SF6 Flow Fraction ......................... 134 The Gridded Ion Energy Analyzer and Associated Experimental Circuit ................................. 140 X!!! Figure Figure Figure Figure Figure Figure E Figure 8 Figure 8 Figure 8, Figure 9_‘ FiEUre 9 A: r. ‘ lgure 9 . iSure 9.4 Fig“he 9.5 “81m,- 9. ‘ FigiJre 9 7 Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Control of the Ion Energy Spectrum to a Conducting Substrate Using a DC Bias Voltage .................... 147 IEDF Broadening Downstream from the MPDR ............. 149 The IEDF vs. Microwave Input Power ................... 151 The IEDF vs. SF6 Flow Fraction in Argon .............. 153 Fiber Optic Measurement Technique of the MPDR Discharge Chamber ........................ 157 UV Emission Spectrum of 0.9 mTorr Argon Discharge ....161 Variation of the Emission Spectrum as a Function of SF6 Flow Fraction in Argon ........................ 163 Emission Intensity (704-nm) of Fluorine Radicals in a SF6 + Ar Plasma ................................. 164 Relative Density of Fluorine in a SF6 + Ar Discharge as Determined by Actinometry ......................... 170 Relative Spatial Variation of Fluorine Radical Density in the Discharge Chamber as Determined by Actinometry ....................................... 172 Comparison of Ar+ Actinometry (D) with Double Langmuir Probe Measurements (A) ...................... 175 Spatial Variation of Argon Ion Density in the MPDR Discharge Chamber from Actinometry .............. 176 Definition of the Degree of AnisotroPY. A ............ 181 The Fabrication Sequence Used For Schottky Barrier Diode Experiments ............................ 188 Silicon Etch Rate vs. Microwave Input Power in 16 sccm CF4 and 4 sccm O2 ......................... 191 Silicon Etch Rate vs. Discharge Pressure ............. 193 SEMs of Etched Silicon Profiles. (a) Isotropic Etching at 6 mTorr, (b) Anisotropic Etching at 0.9 mTorr. Microwave Power: 200 H, Gases: 16 sccm CF4, 4 sccm O2 ............................... 194 Silicon Etch Rate vs. Wafer Bias Voltage ............. 196 Silicon Etch Anisotropy vs. Wafer Bias Voltage ....... 197 xiv Figur! F181}?! Figure Figure Figure 1 . . F.g-re F. ISUre II) Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure Figure 9.8 9.9 10.1 Comparison of Silicon Etch Rates for SF6 and CF4 Plasmas vs. Wafer Bias Voltage ....................... 200 Comparison of Silicon Etch Anisotropy between SF6 and CF4 Plasmas vs. Wafer Bias Voltage ........... 201 Vertical and Horizontal Silicon Etch Rates, Intensity of Fluorine Emissions, and Relative Ion Flux to the Wafer vs. SF6 Flow Fraction in Argon ..... 203 SEM of a Silicon Sample Etched while Tilted 60° ...... 206 Silicon Etch Rate and Anisotropy vs. SF6 Flow Fraction (microwave containment grid removed) ........ 208 SEMs of SF6 + Ar.P1asma Etched Silicon (a) Anisotropic Etching with 152-SF6 (b) Non-anisotropic Etching with 25%-SF ............. 210 6 Definition of Ion Divergence Angle, 6, from Etching Profiles ..................................... 211 Silicon Etching Uniformity in the Processing Chamber (microwave grid not removed) ................. 214 Comparison of Processing Chamber Argon Ion Density and Silicon Etch Rate ................................ 216 Silicon Etching Uniformity in the Processing Chamber (microwave confinement grid removed) ......... 218 AES Surveys of Plasma Etched Silicon Atomic Composition (a) As Etched, (b) Argon Sputter Etched (2-3 nm) ...................................... 221 Comparison of the Current-Voltage Characteristics of Schottky Diodes Fabricated on Unetched and Plasma Etched p-type Silicon ......................... 225 Comparison of the Current-Voltage Characteristics of Schottky Diodes Fabricated on Unetched and Plasma Etched p-type Silicon ......................... 228 Comparison of Schottky Diode I-V Curves for Two Voltage Sweep Rates Indicating Possible Surface Contamination ................................ 230 Qualitative Equipotential and Constant Density Contours in the MPDR Processing Chamber (a) as determined in this work, and (b) the future design goal ........................... 242 XV Chapter 1 Introduction 1.1 Motivation for ECR Plasma Research Low pressure gas discharges sustained by microwave radiation through electron cyclotron resonance (ECR) offer distinct performance advantages over competing radio-frequency (RF) and direct current (DC) plasmas. Among these advantages are higher densities of ions, electrons, and free radicals at lower pressures, electrodeless operation suitable for long-term service in corrosive gases, and low, controllable plasma potentials ensuring that ion-induced damage and sputtered contamination are minimal [1]. The semiconductor industry has identified ECR plasma technology as a potentially important tool for fabricating higher speed, higher density integrated circuits and devices. ECR and non-ECR plasma processing applications include plasma deposition of thin films [21-[4]. growth of oxide layers [51.[6]. and etching [71-[11]. The field of ECR plasmas, however, is dominated by the Japanese. United States research and development of ECR plasma technology and ECR plasma processes lags far behind the Japanese as demonstrated by the leading paragraph from a 1987 news report: ORANGEBURG, N.Y. - Materials Research Corp. appears to have beaten Lam Research Corp. ... in the race to bring home electron cyclotron resonance technology ... which ‘until last week was completely controlled by Japanese suppliers [12). At that time a novel approach to ECR plasma generation, the microwave plasma disk reactor (MPDR), was being investigated by Dahimene [13] and was subsequently patented in the U.S. [141-[17]. This dissertation builds upon the work of Asmussen and Dahimene [18] by experimentally characterizing the MPDR. The initial steps of this research sought to bring this ECR plasma technology to maturity by investigation of the MPDR plasma physics, and by development of a plasma process for anisotropic etching of silicon. Parallel investigations of the MPDR plasma also include broad-beam ion source development [131,[181-[20] and plasma oxidation of silicon and III-V semiconductors [51,[6]. 1.2 Research Goals The goal of this research is to develop a physical understanding of the MPDR plasma source through experimental investigation of the microwave electric fields within the MPDR and the macroscopic properties of the plasma generated by the MPDR over a broad range of operating conditions. Then, using this understanding of the MPDR, research will proceed to develop a plasma process for anisotropic, submicron etching of silicon. Experimental conditions of the MPDR plasma system are first identified as microwave input power the MPDR resonant cavity electromagnetic mode the ECR magnetic field geometry working gas composition and flow rate discharge pressure and vacuum pumping speed operation with and without a grid P‘V'F'P’P’T' 3 The microwave electric field strength and geometry, ion density, electron energy distribution function, plasma potential, ion energy spectrum, and relative free radical concentrations are then experimentally determined as functions of some or all of conditions 1-6 above. In this way one may understand and model the plasma to engineer an optimized plasma processing system. It is finally the goal of this research to combine the experimental results which characterize the MPDR with previous experimental and theoretical etching models found in the literature to develop a silicon etching process. This etching process should be optimized for etch rate and uniformity, be capable of defining submicron features in silicon, possess controllable anisotropy, and produce minimal silicon lattice damage. The characterization of the MPDR plasma should lead to a better understanding of the plasma physics and plasma engineering of this plasma applicator. The general nature of the plasma characterization presented in this work is intended to aid in the commercial application of the MPDR plasma generator, not only as an etching tool, but for all plasma processing. 1.3 Dissertation Outline This dissertation is divided into three subjects: literature review, MPDR plasma characterization, and plasma etching of silicon. Chapter 2 is a two-part review of pertinent literature. The first section describes and compares the current state-of—the-art in ECR plasma sources. The second segment of the literature review discusses variou the H electr: within Chapter electrc energy respect! distritu presente describe: tool. E1 estimate unsatura: COUClUdeS for In: L'Z‘c This ProfeSS-cr Engineer 1: diSSert am ‘A [2111251. various models for anisotropic silicon etching. Chapter 3 describes the MPDR plasma processing system used in this research. The electromagnetic cavity modes and microwave electric field strengths within the resonant cavity structure of the MPDR are determined in Chapter 4. The MPDR plasma is characterized in terms of ion densities, electron energy distribution functions and plasma potentials, ion energy spectra, and light emission spectra in Chapters 5-8, respectively. An ambipolar diffusion model for the spatial distribution of ions in the region downstream from the MPDR is presented and compared. with. experimental measurements. Chapter 9 describes the development and performance of the MPDR as an etching tool. Etching results are discussed in terms of existing models and an estimate of the minimum ion energy required to induce etching of an unsaturated, f luorinated silicon surface is presented. Chapter 10 concludes this work with a summary of important results and suggestions for future research. This work was performed under the guidance of Dr. J. Asmussen, Professor and Acting Chairperson of the Department of Electrical Engineering, Michigan State University. The research in this dissertation has been published in part in refereed scientific journals [211-[25], presented at international conferences [26]-[34]. and has generated a 0.5. patent application [35]. Chapter 2 ECR Microwave Plasma Sources and Plasma Etching: A Review 2.1 Introduction In the late 1970’s the virtues of microwave excited plasmas for plasma processing applications first appear in the literature [1]. Prior to that time plasma processing research had focused on radio frequency (RF) driven discharges. The high ion and electron densities at low pressures, moderate electron temperatures, and small, controllable plasma sheath potentials exhibited in low pressure microwave discharges attracted attention from the plasma processing community as a possible solution to the short-falls of RF plasma processing. Problems encountered with RF plasmas include a low degree of ionization, ion induced damage from large plasma sheath potentials, and contamination. from ion. sputtering of the plasma reactor. In addition, a semiconductor industry trend away from batch processing toward single wafer processing provided impetus for faster processing rates. High concentrations of reactive species reported in microwave plasmas and the prospect of damage-free, low contamination processing began to turn the tide in plasma processing away from RF plasmas and toward microwave plasmas. The dramatically increasing density of semiconductor devices which may be fabricated on a single integrated circuit [36] has been driven in part by improved etching technology. Etching of geometric patterns in integrated circuit (IC) materials was initially performed by liquid-phase chemical reaction. Surface wettability and bubble formation plagued the wet etching technique and limited feature sizes to greater than 3 pm. Liquid wastes from etching are also dangerous and expensive to dispose [37]. Finally, wet etching is typically an isotropic process, i.e. etching in the direction parallel to the wafer surface occurs at the same rate as vertical etching into the wafer. Etching masks must be designed larger than the final feature dimension to compensate for this isotropic undercutting of the mask. The end result is a decrease in the packing density of devices on the IC. The problems associated with wet etching were in large part solved by the discovery of plasma etching. Low pressure (<1 Torr) plasmas consisting of free radicals and ions were capable of consistently covering the wafer surface without wetting problems or bubble formation. Relatively small amounts of gaseous products can be more easily disposed. Perhaps most significant was the development of anisotropic etching methods which could etch submicron features vertically into the wafer surface with little or no mask undercutting. Although a clear improvement over wet etching methods, plasma etching presented new problems of uniformity, slow etch rate (low throughput), and ion induced damage. The issue of wafer damage is essentially a trade-off between low-damage plasma etching by neutral plasma species (which is isotropic in nature), and anisotropic, ion-dominated etching in which the atoms of the etched surface are displaced by energetic ion impact. In the latter case contaminates may also become incorporated in the wafer from ion sputtering of reactor and mask materials. Fe S)’ CO! ad‘ Fr; 01‘. Microwave excited plasmas, and particularly electron cyclotron resonance (ECR) microwave plasmas, were demonstrated by Suzuki, et al., [38] to anisotropically etch silicon with ion energies of only 20 eV. Typically, anisotropic etching by RF parallel plate systems required 200-1200 eV ion energies to etch with usable rates. It was speculated that this significant reduction in ion energy would alleviate damage due to plasma etching. In addition, the high degree of ionization and large density of free radicals generated in ECR plasmas gave favorable etch rates even while operating at low pressures. Initially Japan, and eventually Europe and the United States, began to aggressively investigate ECR plasma etching as a low-damage, high rate, single wafer anisotropic etching process. A In this chapter the three dominant ECR plasma technologies are reviewed and compared. The first reactor concept is the ECR processing system developed in Japan by Nippon Telephone and Telegraph (NTT) commonly known as a divergent field ECR reactor. More recently a multipolar magnetic chamber with transmission-line microwave feeds adjacent to the ECR-strength magnetic fields has been developed in France and is known as distributed ECR (DECR). Finally previous work on the microwave plasma disk reactor (MPDR), which is the focus of this thesis, is reviewed. The chapter concludes with a review of plasma etching mechanisms. While certainly not well understood, a significant body of information on the physics and chemistry of plasma etching of silicon now exists. Particular emphasis is placed on etching of silicon using CF +0 and 4 2 SF6+Ar as reactive working gases since these are the gas mixtures used in the experimental investigation described in this thesis. 2.2 Comparison of ECR Plasma Sources ECR plasma reactors may be classified into two groups based on the geometry of the magnetic field. The first reactor class has large solenoidal magnetic coils which produce longitudinal ECR-strength B-fields in the discharge chamber. The B-field lines are either allowed to diverge and create a VB induced plasma beam, or the field lines are forced to converge creating a magnetic bottle to confine charged species in the discharge. The recent literature contains several examples of this class of reactor and interesting characterization of the plasma produced with the solenoidal magnetic field configuration [38]-[44]. The second class of ECR reactor makes use of a multipolar magnetic field typically created by strong permanent magnets surrounding the plasma. The resulting magnetic cusps in this geometry not only produce ECR zones within the plasma but help confine electrons in the discharge region. Two different examples of multipolar ECR reactors will be discussed. The DECR [451-[46] design focuses microwave power near the ECR regions by tubular transmission lines immersed in the discharge. The MPDR [13]-[35] design uses a single-mode microwave cavity to control and concentrate microwave electric fields in the ECR zones of the plasma. At the time of publication, yet another ECR plasma source has appeared [8]. In this reactor microwaves are coupled to a 9 in. diam. permanent magnet ECR discharge by a conical horn antenna. 9 2.2.1 Divergent Field ECR Plasma Sources Although many variations of the divergent field ECR plasma source exist, the discussion presented here will attempt to describe a generic reactor which incorporates the predominant features of this class of plasma source. Figure 2.1 shows a cross section of a typical divergent field ECR reactor. The feature which separates this reactor from multipolar plasma sources is the solenoidal magnetic coils which surround the plasma chamber. The tubular conductors of the coils support currents on the order of 100 amperes in order to produce the required ECR magnetic fields (875 Gauss at 2.45 GHz). Water flowing through the center of the conductors transports ohmic-loss heat from the solenoids. In systems with more than one solenoidal coil, the currents in each coil may be varied separately to control the shape of the magnetic fields in the discharge and processing chambers. In reactors similar to Figure 2.1 the magnetic field becomes weaker and begins to diverge away from the central axis above and below the plasma chamber. The resulting gradient of the magnetic field gives rise to a VB induced drift of charged particles. The force on a charged particle is given by [47] r = -u Vlfil (2.1) where u is the magnetic moment due to the gyration of the particle in the B-field. Electrons and ions will be accelerated from the discharge chamber into the processing chamber by this grad-B force. A drift 10 swnh‘hmmum un-——:l_ -+— -+— ‘Ihslgukklinput lbw- mmmm r cum“ ilflcnokhn eonI--' Phumna ohmmfluu- L. ”:3 Ehooumdng ohaunlm'-—-—-~ j'h'n:flo puma Sunni-[manor F 3 Figure 2.1 A Generic Divergent Field ECR Plasma Source 11 velocity of charged particles which is induced by magnetic field gradients is given by [48] 2 {id = " (13’ x v|§|) (2.2) where v is the particle velocity perpendicular to B and we is the cyclotron frequency. The drift velocity in Eqn. (2.2) plays only a minor role [relative to the V|B| force in Eqn. (2.1)] in the divergent field plasma since B is nearly parallel to V|B|. Two notable variations on this magnetic field geometry exist. In the first, a third solenoidal coil is positioned downstream from the plasma around the sample holder [44]. This third coil can be used to cause the magnetic field lines to converge thus creating a magnetic bottle which confines particles in the processing chamber. Since charged particles follow magnetic field lines as they diffuse, the third coil may also help to keep the ion velocities perpendicular to the substrate surface. In another design a water-cooled permanent magnet has been placed beneath the sample holder thus causing an increase in the magnetic field strength near the sample and confining charged particles [38]. Microwave power (2.45 GHz or 915 MHz) is coupled into the plasma through a rectangular or circular waveguide. The wall of the waveguide typically hosts three stub tuners which, when properly adjusted, allow the impedance of the microwave source to be matched with the impedance of the discharge. Some caution must be used with stub tuning since a C01 12 high standing wave ratio may exist between the tuning devices and the plasma. Large surface currents and electric fields may cause extreme heating or electrical breakdown in the waveguide or tuners. The plasma chamber is separated from the final section of waveguide by a dielectric window constructed from quartz or alumina. The ‘window' provides a 'vacuum seal between atmosphere and the low pressure discharge while permitting microwaves to pass into and excite the plasma. 2.2.2 The Distributed ECR Plasma Source Starting from the concept of‘ magnetic multipolar plasma confinement [49]. a novel ECR plasma applicator was developed [11].[45].[46] which deposits microwave energy in the 875 Gauss ECR regions adjacent to the magnetic confinement cusps. The microwaves are distributed to the magnetic cusps via cylindrical transmission-line applicators extending into the discharge from coaxial lines (see Figure 2.2). Hence the name: distributed ECR (DECR). A cylindrical chamber is surrounded by rectangular permanent magnets which run along the length of the cylinder as shown in Figure 2.2. The magnetic field is approximately 1000 G near the face of each magnet and decreases to several gauss 10 cm away giving rise to a strong B-field gradient. Charged particles are then forced toward the center of the discharge by the VB force (Eqn. 2.1) rather than recombining on the walls of the chamber. In this manner a uniform, large volume plasma is maintained in the center of the discharge. 13 \ ”m... The Distributed ECR Plasma Source Figure 2. 2 14 Microwave power (typ. 2.45 GHz) is introduced into the chamber by coaxial transmission lines. The outer conductor of the transmission line is terminated on the chamber wall and the inner conductor is fed into the chamber along a tubular conductor. A two-conductor transmission line to guide microwave radiation is formed between the chamber wall and the tubular applicator. The tubular applicators are positioned within the discharge adjacent to each pole-face of the magnets. In this way microwave power is transmitted down the length of the cylindrical discharge chamber and is concentrated primarily in the regions next to the magnetic pole faces where the B-field strength is 875 G and ECR plasma heating occurs. The magnetic poles alternate in polarity around the circumference of the chamber giving rise to magnetic field lobes within the plasma. Charged particles generated from energetic electron impact near the ECR regions are trapped by the magnetic fields and form plasma lobes around the periphery of the chamber. Neutral radicals, excited species, electrons, and ions then diffuse into the central region of the chamber forming a quiescent, field-free plasma. Substrates as large as 150 mm (6 inches) in diameter may be uniformly processed in the central diffusion plasma. 2.2.3 Microwave Plasma Disk Reactor The microwave plasma disk reactor (MPDR) [13]-[35] is similar to the DECR plasma applicator described previously in that the discharge chamber is surrounded by a multipolar magnet configuration. Rather than using discrete wave applicators for each magnetic cusp, the MPDR 15 utilizes a single-mode electromagnetic cavity. The electric field distribution within the discharge can then be controlled by selecting the appropriate resonant mode of the cavity such that the microwave energy is concentrated near the ECR zones (see Chapter 4). A complete description of the MPDR is given in Chapter 3 of this work, and the reader is referred to Figures 3.1 and 3.2 for cross sectional views of the MPDR in the following discussion. Power is introduced into the MPDR cavity through a single 7/8" coaxial line. The inner conductor of this line extends into the cavity to form a short monopole antenna. The length of this antenna is adjustable so that the input impedance of the antenna may be matched to the impedance of the microwave source for a wide range of plasma parameters. The inside height of the cavity is also adjustable. This allows selection of a particular electromagnetic cavity mode and provides a second degree of freedom to allow matching of source and load impedances. These two adjustments are sufficient to enable microwave power to be coupled into the plasma without reflection. Unlike the stub tuners used by other reactors, the MPDR is internally tuned. In this way large standing wave ratios are avoided in the microwave power feed. A cylindrical bell jar in the lower end of the cavity contains the plasma. Gas is introduced into the plasma chamber by a series of pin-holes located around the circumference of the plasma chamber adjacent to the ECR-strength magnetic fields. In this way gas is forced to flow through the ECR zones upon entering the chamber. The plasma generated in the discharge chamber under most operating conditions has an electron density greater than the critical density (7x cav cha SUF 2.2.4 requ: Plasm Size field Coupe: litera 16 [7x1010 cm.3 at 2.45 GHz). therefore the microwave radiation inside the cavity cannot propagate through the plasma and into the the processing chamber. The dense plasma effectively acts as the lower conducting surface of the cavity. Charged particles, free radicals, and excited species from the discharge chamber diffuse and drift into the downstream processing region. When the MPDR is operated properly the processing chamber is essentially free from microwave fields due to the dense plasma in the discharge chamber. In addition, iron magnet keepers surround the lower and outer surfaces of the ECR magnets and reduce the magnetic fields in the processing region to a few gauss. Samples are processed several centimeters below the discharge chamber in the f ield-f ree processing chamber in a quiescent, low pressure diffusion plasma. 2.2.4 A Performance Comparison of ECR Plasma Sources The task of comparing a diverse collection of plasma sources requires the development of figures of merit. Important factors in plasma source performance should include peak ion density, uniformity, size of the processing area, and energy efficiency. The divergent field ECR sources, the DECR, and the MPDR will be contrasted and compared for overall performance based on data available in the literature. 17 2.2.4.1 Ion Density and Uniformity The production of reactive species in the plasma is certainly an important consideration for comparing plasma sources. In general, measurement of neutral free radical concentrations is quite difficult and costly. On the other hand, ion density and electron density measurements can be made quite simply using double and single Langmuir probes [501-[52], respectively. For the low pressure, diffusion dominated plasmas under investigation, charge neutrality generally holds and the density of electrons and ions are nearly equal. Comparisons of electron and ion densities can therefore be made interchangeably. A It is important to compare densities among various reactors under similar conditions. Argon feed gas is inexpensive and produces ions of only one mass. It is commonly used as a diagnostic gas in plasma reactors in spite of having limited use alone in actual processes. For this reason argon gas will be used as a standard of comparison throughout this chapter. The wide range of operating pressures of ECR microwave plasma sources makes comparison somewhat difficult. Typical plasma processes fall between 10-4 and 10"2 Torr. Where possible a standard pressure of 10.3 Torr will be used for comparison. In Table 2.1 several ECR sources are compared in terms of ion density and uniformity. Since gas flow rates vary widely or are simply not reported, this comparison is made without regard to flow. The gas flow rate used during the experiment may, however, have a significant effect on ion density. Except where indicated the data refers to plasma conditions in the processing region. Discharge zone densities 18 tend to be larger, but are not available for plasma processing and, therefore, are of secondary importance. The divergent field ECR sources typically produce ion densities in 11 -3 m the range of 6-7x10 c in the upstream, discharge zone. Downstream densities, however, decrease to as little as 4x1010 cm-B. This may be an indication of poor plasma transport between the region of plasma excitation and the processing chamber. Particularly in the reactor used by Gorbatkin [39], the discharge is created at a relatively long distance from the processing chamber. It is speculated that ions and electrons are lost to the reactor walls as they are transported from their creation point. In contrast, the reactor used by Forster [44] is able to maintain an ion density of 3x1011 cm":3 in the processing region. In this work a third solenoidal magnet is used in the downstream region to create a converging magnetic field or magnetic bottle to contain charged particles. The boundaries between the discharge zone and the processing chamber are less distinct in the DECR and MPDR designs. The close proximity of the two regions and multipolar magnetic confinement ensures that relatively few charged species will be lost to diffusion processes. Hence the processing chamber ion densities are somewhat higher, ranging from 1-6x1011 cm-a. The second column. from the right in Table 2.1 indicates the diameter of the discharge chamber. The last column shows the percent variation of plasma density over an area of the specified diameter. The DECR plasma exhibits excellent uniformity over a 15 cm diameter (6 inch) surface due to the multipolar confinement scheme employed to prevent electron-ion recombination at the vessel walls. Forster [44] SuZux PODOV Fors: 19 Table 2.1 Comparison of ECR Plasma Sources Reference Type, Gas/Pressure N /Power Diam. Uniformity 1 -3 (cm /W) (cm) % - diam. Gorbatkina9 DIVG argon/ 4x1010/ 14 cm 10% - 8 cm* 1 mTorr 1100 W Lee40 DIVG argon/ 5x1010/ 25 20% - 10 cm 1 mTorr 200 W Ono41 DIVG chlorine/ 3x1011/ 15 - - 1 mTorr 200 W Suzuk138'42 DIVG argon/ 7x10-i} 6.6 - - 1 mTorr 180 W Popov43 DIVG nitrogen/ 6x1011£ - - - - 0.6 mTorr 1000 W 44 11 , Forster DIVG argon/ 3x10 / - - <54 - 16 cm 1 mTorr 1300 W Burke4s DECR argon/ 3x1010/ - - - - 0.75 mTorr 600 W Burke46 DECR argon 3x1011 - - 1% - 15 cm (7) 600 W Asmussen23 MPDR argon/ 1x101;/ 20 — - 1 mTorr 630 W 22 11 , Hopwood MPDR argon/ 6.3x10 / 9 5% - 5 cm 0.9 mTorr 250 W * Type refers to divergent field (DIVG), distributed ECR (DECR), and microwave plasma disk reactor (MPDR). Measured in the: discharge: area rather than the processing area and is not necessarily available for plasma processing purposes. 20 was able to achieve good uniformity over a 16 cm diameter by carefully adjusting the solenoidal magnetic fields in the processing chamber. Finally, an unpublished [53] source has shown that a 25 cm diam. MPDR is capable of 15% uniformity over 15 cm. diameter surfaces while maintaining densities of 3.5x1011 cm-3 using 1450 watts. 2.2.4.2 Efficiency A fair comparison of efficiency among the different reactors is extraordinarily difficult. In this section a method of rating the efficiency of ECR plasma sources in terms of the usable (i.e. uniform) ion current per watt of microwave power is proposed. This figure of merit will be referred to as watts per uniform beam ampere (WUBA). The ion current density which is available for plasma processing of a surface can be determined from the ion density and the electron temperature [51]. _ 1/2 J1 - 0.6 e n1 (kTe/mi) (2.3) where e is the particle charge, n is the ion density, k is Boltzmann’s i constant, Te is the electron temperature, and m1 is the ion mass. For practical purposes only that component of the ion current density which is at least 5% uniform is usable in plasma processing. One then defines an area of uniformity, Au, over which the ion flux is at least 5% uniform. Finally, given the input microwave power, Pin’ one defines the figure of merit for efficiency as WUBA = P n / A J (2.4) Table 2.2 shows the pertinent data and the resulting efficiencies for examples in each category of reactor. The divergent field results are somewhat misleading since power estimated at a minimum of 1.5 kW is dissipated in the solenoidal magnet coils but is not included as part of the total input power. Table 2.2 Watts per Uniform Beam Ampere in ECR Sources Source Type n1 (cm-3) Te (eV) P1n (W) Au (cmz) WUBA (W/A) DIVG39 4x1010 4.0 1100 177 est. 5300 DIVG44 3x1011 7.0 1300 201 560 DIVG44 with DC magnet power: >1500 est. 1160 DECR46 3x1011 2.1 600 177 525 MPDRZZ 6x1011 7.0 250 20 520 MPDR53 3.5x1011 5.0 1450 177 690 2.3 Plasma Etching of Silicon Plasma etching of silicon has found many applications in the fabrication of integrated circuits. In addition to the advantage of etching smaller feature sizes as discussed in the introduction to this A 22 chapter, plasma etching is also capable of creating high aspect ratio trenches in silicon. Trench technology is currently used for isolation of high-performance transistors on integrated circuits, fabrication of high-power transistors, and trench metal-oxide-semiconductor (MOS) capacitors [54]. An example of the topology of a trench capacitor is compared to a conventional planar capacitor in Figure 2.3. Each capacitor geometry is fabricated by growth or deposition of an oxide insulating layer on silicon. The silicon substrate acts as one of the plates of the capacitor. A metal or poly-silicon layer is deposited over the oxide thus forming the second capacitor plate. A deep trench is first etched in the silicon substrate prior to oxidation in the case of the trench capacitor. The trench capacitor uses less silicon wafer area to achieve the same capacitance as the planar capacitor by utilizing the side walls of the trench. In the field of dynamic memories (DRAMs) where millions of capacitors must be fabricated on a single wafer die, the compactness of trench capacitor technology is critical. Using trench etching as motivation, several plasma etching goals may be identified. Clearly a high degree of anisotropy is required to achieve narrow, deep trenches. A high rate of etching is useful since trenches may be on the order of several microns in depth. It is also critical that the etch rate be uniform across the entire wafer since device performance must be uniform from die to die on the wafer. Finally, the etching process must not induce significant damage to the silicon substrate. Lattice dislocations, impurities, and an increased density of surface states will increase leakage currents and decrease breakdown voltages of MOS capacitors fabricated in the trench. 23 rill/Jllllljl . I 0.. O O. .0 O ... .I...I.j Planar MOS Capacitor I r a ' q . . .. .. 2"} 2"} 2:. 21:, if. y Figure 2.3 Comparison of Planar and Trench MOS Capacitors 24 In an effort to understand, control, and optimize anisotropy. etch rate, and damage, several etching models have been proposed in the literature. The following sections will review models for plasma etching of silicon using CF4 + 02 and SF6 + Ar gas mixtures. 2.3.1 Reaction of Fluorine Radicals with Silicon Studies of silicon etching have demonstrated that fluorine radicals spontaneously etch silicon [551.[56]. Two proposed models [57] of the fluorine etching mechanism will be reviewed. Silicon atoms at a <100>-surface possess two dangling bonds. In a fluorine environment these bonds are filled by fluorine atoms which impinge upon the silicon surface with thermal energies. The first model hypothesizes that successive fluorine atoms are adsorbed at the silicon surface until SiF4 is liberated as a gaseous product: Si + F(g) —+ SiF(ads) (2.5) SiF(ads) + F(g) —+ SiF2(ads) (2.6) SiF2 + F(g) -+ SiF3(ads) (2.7) SiF3 + F(g) -+ SiF4(g) (2.8) The other model proposes that reactions (2.5), (2.6), and possibly (2.7) occur, but the formation of SiF4 is dominated by associative desorption in any of the three reactions below: 25 SiF2(ads) + S1F2(ads) -+ SiF4(g) + 51 (2.9) SiF2(ads) + SiF3(ads) -+ SiF4(g) + SiF(ads) (2.10) SiF3(ads) + SiF3(ads) —9 SiF4[g) + SlF2(ads) (2.11) Pelletier [57] argues that demonstrations of spontaneous etching using XeF2 (and C12) indicate that associative desorption is responsible for spontaneous etching. The fluorine radical etch rate of silicon, R(Si)’ has been experimentally determined to be temperature dependent [56] = 2.91:0.02x10-12 n 71/2 {Eu/“T A/min. R(Si) F (2.12) where "F is the density of fluorine radicals, T is the temperature, and St is the etching activation energy (0.10810.005 eV). Finally, Vasile and Stevie [55] have detected Sin and SiF4 in the effluent of fluorine radical etched silicon, thus supporting the etching models above. 2.3.2 The Role of Ion Bombardment in Anisotropy Plasma etching is frequently anisotropic in nature and the simple model described in section 2.3.1 does not account for this. Fluorine radicals exhibit random thermal motion and are capable of spontaneous silicon etching both laterally and vertically. The key to understanding anisotropic plasma etching is modeling the role of ions in the plasma-surface interaction. A space charge layer known as the plasma sheath forms at all plasma-surface boundaries. The sheath is produced as high mobility electrons from the plasma diffuse to surfaces more quickly than the 26 more massive, larger positive ions. The resulting charge imbalance between the surface and the plasma produces the space charge electric field or plasma sheath. Assuming that the sheath is much thicker than the roughness of the surface, the sheath electric field will be everywhere perpendicular to the surface. Hence, ions which approach the surface will be attracted and accelerated through the sheath and perpendicularly strike the surface. If the ion-induced etch rate is much larger than the spontaneous fluorine radical etch rate and the ion flux is not divergent, anisotropic etch will predominate. Suzuki, et al. [38], offer a simple model to describe the conditions required for a non-divergent ion flux. It must first be assumed that the ions [in the plasma have only random thermal energies which are much less than the energy gained from traversing the plasma sheath. At sufficiently low pressures and high ion densities the mean free path of the ions is considerably greater than the sheath width and ions will be accelerated by the sheath into the etching surface without collisions as shown in Figure 2.4. On the other hand, at high plasma pressures the mean free path of the ion may become less than the sheath width. Under these conditions the ions will suffer collisions in the sheath. The ion velocities will become randomized and undercutting of the etching mask occurs. Anisotropic etching at higher pressures is still achievable by externally increasing the electric field in the plasma sheath until ion collisions in the sheath have little affect in randomizing ion velocities. This is the technique used in anisotropic reactive ion etching (RIE) in 27 0 O O) 0090 g 6 0 e e o o $0 ace 0 0 $0 0 0 0 e0 ____3_ 010 K o 00 e o Sheath O a i . Low Pressure High Pressure Figure 2.4 Simple Model of Anisotropic Etching [38] 28 parallel-plate RF (13.56 MHz) etchers [58l-[60]. Increased ion energies, however, result in increased substrate damage and, therefore, should be avoided if possible. 2.3.2.1 Ion Induced Etching Given that an energetic ion flux strikes the etched surface perpendicularly, we must now describe the physical mechanism(s) responsible for the accelerated etching of ion bombarded surfaces. It has been determined [611.[62] that etch rates are higher on ion bombarded surfaces in the presence of etching radicals than the sum of the separate etch rates of pure ion] bombardment (sputtering) and chemical radical etching. This class of mechanisms is often referred to as ion induced etching. Clearly the etching reactions of Eqns. (2.5)-(2.11) could proceed at an increased rate with physical ion impact replacing the chemical reaction which liberates SiF4. It has also been proposed (see Figure 2.5a, after Ref. [63]) that ions bombarding the surface create damage sites in the lattice which allow fluorine radicals to penetrate the surface beyond the first monolayer, thereby weakening silicon bonding sites and enhancing the etch rate. Finally, ions (X+) striking the surface may directly dissociate molecular radicals and release etching reactants [37]; for example, CF3(surface) + X+ —9 CF2 + F(surface) + X+ (2.13) 29 enchant oSilieon atom (1)) Ion Induced Damage (a) and Sidewall Passivation (b) Figure 2.5 Anisotropic Etching Models 30 The mechanism responsible for ion induced etching is not universally agreed upon. There is also one more model to describe anisotropy. 2.3.2.2 Sidewall Passivation Although ions have been proved to enhance etch rates, a second model for anisotropy is also well accepted (see Figure 2.5b). Inert products from the discharge are believed to form on all surfaces during etching with certain gases. In particular, carbon containing etching gases, such as CF4, form carbonpolymer films which prevent etching species from reaching the silicon surface [631.[64]. Ion bombardment of the surface is required to clear the surface so that etching may proceed. The horizontal surfaces are continuously cleaned by ions during the etching process, but the sidewalls of the etched profile are not subject to ion bombardment. Etching is predominantly vertical since the sidewalls cannot be spontaneously etched by plasma radicals as shown in Figure 2.5b (after Ref. [63]). 2.3.3 CF4 + 02 Etching Chemistry The addition of oxygen to CF discharges enhances the silicon etch 4 rate [64]. At approximately 20%-O2 in CF4 the etch rate of silicon reaches a maximum and then declines for higher 02 concentrations. Two models have been proposed to describe this behavior. pia: bel. OXYEer COntai remove SPGCtr mOlecu. indicat SinCe I etch Ta Signific 31 The) first. model attributes the enhanced silicon. etch rate to plasma chemistry. Oxygen radicals or molecules in the discharge are believed to either 1. react with CFx [x = 1,2,3) radicals in the discharge to liberate additional fluorine, or 2. react with CFx radicals in the discharge to block the recombination of fluorine atoms. Both titration and emission spectroscopy have demonstrated that the fluorine atom concentration does indeed reach its maximum with the addition of approximately 20% oxygen [65]. At higher concentrations of oxygen the fluorine density is reduced by simple dilution. The enhanced fluorine concentration in the discharge is then responsible for the observed improvement in etch rates (Eqn. 2.12). A competing theory attributes the increase in CF4 etch rates with oxygen additions to surface reactions. It is proposed that the carbon containing polymer films which passivate the silicon surface are removed by chemical reactions which oxygen [641.[66]. Mass spectroscopy has verified the existence of volatile carbon-containing molecules such as C0, C02, and COF2 in the effluent of etching plasmas indicating that oxygen does indeed react with carbon in the process. Since the protective layer of carbon is continuously removed by oxygen, tetch. rates are enhanced in the presence of oxygen until dilution significantly reduces the fluorine density. 32 2.3.4 An SF6 Etching Model Several investigators [11].[22].[24].[67]-[69] have» [observed purely anisotropic etching in SF -based discharges at low pressures. 6 This is surprising since significant quantities of radical fluorine atoms are known to exist in SF6 discharges and no inert species are observed to passivate the sidewalls of etched features. Conventional thought would conclude that under these conditions fluorine radicals would spontaneously etch the sidewalls. Mahi, et al. [11]. have monitored both the ion flux (via substrate current)and relative fluorine flux (by actinometry) to the surface of the silicon wafer during etching. In this work they discovered that purely anisotropic (no sidewall) etching occurs above a critical ratio of ion flux to fluorine atomic flux. This critical ratio required for anisotropic etching was also observed to increase as the energy of the ion flux was decreased. Continuing with this work, Petit and Pelletier [571,[69] have proposed an SF -Si anisotropic etching mechanism. In their model it is 6 assumed that lateral repulsive forces prevent adsorbed fluorine atoms from occupying nearest neighbor positions. The reaction responsible for the spontaneous etching of silicon is SiF2(ads) + SiFZ(ads) —+ SiF4(g) + 51 (2.9) but, reaction (2.9) is possible only between SiF adspecies in nearest 2 neighbor positions. When the fluorine neutral flux to the silicon is low, fluorine surface coverage is also low and Sin adspecies will not CC on re; 10: 2.4 bee thr HPD} imp: Char 33 occupy nearest-neighbor positions. Ion bombardment of the lateral surfaces is then responsible for destroying the no-nearest-neighbor order of adsorbed fluorine atoms. Thus, under ion bombardment, reaction (2.9) may proceed. On the sidewalls of the etched features no ion bombardment occurs and, therefore, etching is purely anisotropic. 2.4 Concluding Remarks In this chapter some figures of merit for ECR plasma sources have been proposed. These figures of merit were then used to compare the three major types of ECR plasma sources: divergent field ECR, DECR, and MPDR. The research presented in the remainder of this thesis aims to improve upon the state-of-the-art in ECR plasmas through experimental characterization and engineering of the MPDR plasma source. Chapter 3 The Multipolar Electron Cyclotron Resonance Microwave-Cavity Plasma Processing System 3.1 Introduction A device which generates a disk-shaped, gaseous plasma within a tuned microwave cavity was first described by Root [70]. This concept was modified for stable, efficient operation at low gas pressures (less than 10 mTorr) by Dahimene [13],[18] by incorporating rare earth magnets which act to confine the plasma within the chamber and allow direct energy transfer to the electron gas via electron cyclotron resonance (ECR) [71]-[73]. Herein is described a plasma generation device which is an extension. of Dahimene’s work. The so-called Microwave Plasma Disk Reactor (MPDR) has been incorporated into a simple plasma processing system including vacuum, gas handling, and microwave subsystems which are also discussed. 3.2 The ECR Plasma Source The MPDR consists of three basic components: the microwave cavity, the discharge chamber, and the ECR baseplate (see Figures 3.1 and 3.2). The microwave cavity focuses intense microwave energy onto the 34 35 discharge chamber. The baseplate supplies the working gases into the discharge chamber and also contains the magnets which generate the ECR magnetic field within the discharge region. 3.2.1 Electron Cyclotron Resonance A free electron in the presence of an alternating electric field will oscillate in the direction of the electric field vector at the frequency of the E-field. Although the instantaneous electron energy changes in response to the applied electric field, the time-average electron energy remains constant; hence no time-average power is coupled from the electric field to the electron. In a plasma, however, there exist a large ensemble of electrons as well as heavy particles (ions and neutrals). At sufficiently high gas pressures the electrons will collide with the heavy particles in the plasma and attain velocities perpendicular to the electric field direction. This “Joule heating" allows power from the alternating E-field to be transferred to the electron gas of the plasma. This process is most efficient when the electron effective collision frequency, v is equal to the eff’ E-field frequency, w [72]. Typically this occurs for gas pressures on the order of 1 Torr for 2.45 GHz microwave electric fields. For many plasma applications it is desirable to produce discharges of considerably lower pressure. At low pressure, however, the effective collision frequency is too low to sustain a stable discharge with modest E-field strengths. An attractive solution to this problem is electron cyclotron resonance plasma heating. 36 In the presence of a magnetic field, a charged particle will oscillate in a circular path with frequency we, we = qB/m (3.1) where q is the charge of the particle, B is the magnitude of the magnetic field, and m is the particle mass. If a sinusoidally varying electric field which is perpendicular to the B-field is applied at the frequency of the particle’s oscillation, a resonance condition will exist. Under these circumstances, the charged particle orbit will become spiral-like; each successive orbit will have a larger orbital radius while the orbital frequency remains fixed at me. In this fashion large amounts of energy may be transferred from the electric field to the charged particle in the absence of collisions by electron cyclotron resonance. In low pressure plasmas (< 100 mTorr) the mean free path of electrons is long and the electron collision frequency is low making Joule heating of the discharge difficult but providing an excellent environment for ECR heating. The FCC designated microwave heating frequency of 2.45 GHz is used throughout this work. At this frequency the necessary magnetic field strength for ECR plasma heating is B = m w/e = 875 Gauss (3.2) cc e Rare earth magnetics which surround this discharge provide a B-field which penetrates the discharge region and produce 875 G fields around the perimeter as described in section 3.2.3. 37 3.2.2 The Microwave Cavity A tunable microwave cavity (Figure 3.1) is used to match impedances between the plasma and microwave power source. Additionally, the cavity acts to focus and intensify specific microwave field geometries in the discharge region. The body of the cavity (12) consists of a 17.8 cm diameter brass cylinder. The upper end of the cylinder is terminated by a movable plate or sliding short (30]. In the lower end of this resonant structure resides the discharge chamber (33) and base plate (21). Microwaves are introduced into this volume via a short antenna (32) which emerges from the center conductor of a 7/8 inch diameter, rigid coaxial transmission line. The length of the antenna, LP, inside the cavity is adjustable to allow impedance matching between the microwave power source and the cavity. In addition to this tuning parameter, the length of the cavity, Ls, may be varied by moving the sliding short. Using these two degrees of freedom it is possibLe to match the impedance of the cavity/plasma system to the 509 microwave feed. A discussion of the various electromagnetic modes produced in the cavity can be found in Chapter 4. 3.2.3 The Multipolar ECR Magnetic Field As mentioned previously, at 2.45 GHz the required magnetic field strength for ECR plasma heating is 875 gauss. In the MPDR this magnetic field is generated by compact neodymium-iron-boron magnets (22) within the reactor’s baseplate. Figure 3.2 shows a cross section FiEUre 38 5' CL \‘\\\.‘C\\\\ 1 \\ \‘ K\\\‘£\\\\X\\\\‘£\\\\I\\\\‘S\\\\. \ x I I ll 39 Figure 3.1 Cross Section of the Microwave Plasma Disk Reactor (MPDR) and Processing Chamber 40 of the baseplate with eight magnets surrounding the discharge region (22). Also used in this work is a six magnet configuration. Each cusp of this magnetic field consists of two 2.54 x 2.54 x 1.27 cm magnets with a combined pole face strength of approximately 3000 gauss. The magnets are arranged in the baseplate such that alternating north and south poles face toward the discharge. Sketched into Figure 3.2 is a qualitative 875 gauss ECR surface (27) which represents the region where ECR heating of the plasma occurs. The magnets rest on an iron ring (22) which acts to short-out the magnetic fields in the downstream processing region. A polygon-shaped iron retainer (22) also surrounds the outer perimeter of the magnets and helps to intensify the B-fields toward the discharge region. Gauss meter measurements indicate that the 875 gauss ECR region exists about 1 cm from the discharge chamber walls in the 8-pole configuration. 3.2.4 Baseplate Description Besides the ECR magnets, the baseplate (Figures 3.1 and 3.2) consists of a discharge chamber, working gas inlets, and water cooling. The plasma is contained in the central region of the baseplate under a fused quartz chamber (33) which is vacuum sealed to the baseplate by a flat silicone gasket. The quartz chamber is held in place by the .pressurer differential between the evacuated plasma region and the atmospheric pressure of the microwave cavity region. The height of the discharge region measured from the bottom of the baseplate to the upper surface of the quartz chamber is 6 cm. The Chamber’s inner diameter is 9 cm. 41 Pre-mixed gas from the gas handling system (sect. 3.3) enters the discharge chamber through 8 pin holes (gg) located in the inner surface of the baseplate. Gas is distributed to each of these inlets from a common gas channel (26) whidh is machined around the inner region of the baseplate. Since the rare-earth magnets used to generate the ECR magnetic field are sensitive to high temperatures (i.e., they have a low Curie temperature), water cooling of the magnets is accomplished through a circumferential channel (25) surrounding the magnets. Water flow rates of approximately 1 liter/minute are sufficient to protect the magnets from the heat of the plasma. A A perforated stainless steel plate (34) with 40% transparency has been affixed to the baseplate at the downstream end of the discharge chamber (29) in some experiments. Since the discharge chamber radius is greater than the cut-off radius of a 2.45 GHz circular waveguide, it is possible for microwaves to propagate through the discharge region into the processing chamber (13). If a plasma above the 2.45 GHz 10 cm-3) occupies the discharge region the critical density (74] (7x10 microwaves will be contained within the cavity. During plasma ignition, however, considerable leakage is detected unless this microwave confinement grid is used. 3.2.5 The Processing Chamber Although plasma processing may be accomplished in. the discharge region, the region below the position of the microwave confinement grid (d>0) will be referred to as the processing chamber (13). This region 42 has the advantage of being free from the microwave electromagnetic fields and static magnetic fields which permeate the discharge chamber. The plasma processing chamber is simply a 21h x 18d inch pyrex cylinder (37) which is capped by a 1.125 inch thick stainless steel plate (35) with a 7 inch diameter vacuum port for the MPDR. The downstream end of the chamber is also terminated by a stainless steel plate (36) which hosts a 10 inch diam. inlet to the diffusion pump. The chamber is serviced by a rotary/longitudinal mechanical feed-through (39) which supports Langmuir probes (38) and other diagnostic equipment. An eight-conductor electrical feedthrough is also used with the chamber (not shown). Also situated in the chamber is a 2 inch diameter aluminum substrate holder (40) which can be electrically biased (41) and manually positioned. A cylindrical coordinate system will be used throughout this work in which d will represent the distance below the microwave confinement grid (34), d is the angle relative to the microwave input antenna (32). and. r is the radial distance from the axis of the» discharge and processing chambers. 3.3 Vacuum and Gas Handling Apparatus In this section the gas flow in and out of the discharge and processing chambers is described. Figure 3.3 gives a schematic representation of the experiment. Up to three different working gases may be mixed by a 3-channel (Tylan FC-280) mass flow controller (10). The flow controllers have maximum operating flows of 10, 20, and 50 sccm, respectively and a 43 Figure 3.3 Vacuum and Gas Handling Components of the MPDR Plasma Processing System 44 minimum flow of approximately 4% of their full scale flows. The outputs of the mass flow controllers are mixed together into a single gas line (11) which feeds the MPDR baseplate. Pressure measurement within the vacuum system is accomplished through four vacuum gauges. Pressure in the processing chamber is measured with a capacitance manometer (1). The MKS-390HA absolute capacitance manometer and MKS-270B signal conditioner can accurately determine pressures from 1 Torr to 1x10.5 Torr. A miniature ionization pressure gauge (2) is located in the inlet to the diffusion pump. This instrument is able to measure pressures from 10-2 to 10“8 Torr. Due to its close proximity to the diffusion pump, pressures measured by the ionization gauge do not accurately reflect the processing chamber pressure with a gas flow present. In addition, the ionization gauge is sensitive to gas type making calibration in non-nitrogen environments questionable. The system also hosts two thermal couple vacuum gauges. The first (3) is located near the inlet to the diffusion pump. The second (4) is between the diffusion pump and the mechanical pump. Each measures pressure in the range of 5 Torr to 10.2 Torr. The chamber is pumped by a 2500 liter/sec oil diffusion pump (6). The diffusion pump is filled with Krytox hydrocarbon-free oil which is suitable for safely pumping oxygen and other reactive gases. To minimize the backstreaming of oil from the diffusion pump to the chamber, a freon-cooled baffle (5) is installed between the diffusion pump and the chamber. While greatly reducing contaminates in the processing chamber, the baffle also reduces the inlet pumping speed of the system to 960 liter/sec. Pumping speed can be adjusted manually by _ throttling a high vacuum gate valve (7) located between the baffle and Ci Ca 11 45 the chamber. Independent control of pressure and gas flow is achieved in this manner. A 33 m3/hr mechanical pump (8), also filled with Krytox oil, is used to evacuate the chamber from atmospheric pressure to approximately 100 mTorr where the diffusion pump can operate. The mechanical pump is then switched over to service the diffusion pump output. 3.4 Microwave Apparatus Microwave power is supplied to the plasma from a 2.45 GHz magnetron (Figure 3.4). The MicroNow 42081 precision microwave power supply (14) produces stable, well-filtered power from 50 to 500 watts. The output of the microwave source is attached to a 300 watt, coaxial 3-port circulator (15). The circulator protects the magnetron from reflected microwaves by directing radiation traveling toward the microwave source into a 500 watt capacity, 509 dummy-load (16). Incident and reflected power levels are sampled by a 500 watt, 20 dB dual directional coupler (17). The forward and reflected power samples are further diminished by 20 dB attenuators (18) before being measured by Hewlett-Packard HP435A microwave power meters (19). The power measurement in this system was calibrated by determining the attenuation of each component in the system. Due to losses in the coaxial transmission line (20) between the microwave equipment and the cavity input, 18% of the available power is dissipated as heat in this cable. The power limitations and power losses of the above components limited the usable output power to between 50 and 300 watts. Experimental powers reported in later chapters are determined by 46 19 :13 L17 20 umiéfil—j 12 15.9.3318 Figure 3.4 The Microwave Power Source, Circuit, and Cavity 47 subtracting the microwave power reflected from the cavity from the incident power. Thus "power" always refers to the microwave power absorbed by the cavity. 3.5 Concluding Remarks The basic MPDR plasma processing system has been described in this chapter. Specific experimental details have been distributed to the chapters which address each measurement or process. Chapter 4 Microwave Electric Fields in the MPDR 4.1 Introduction Although the electromagnetic modes of a perfect cylindrical cavity are well known [751,[76], the introduction of a plasma into the cavity volume can significantly alter the field geometry. In this chapter an experimental study of the microwave fields within the MPDR cavity is made while a plasma is sustained. Both the geometric pattern of the fields and the actual amplitude of the radial component of the electric field are determined. Empty cavity (i.e., no plasma present) measurements of cavity modes have been previously reported. Determination of physical cavity dimensions for 2.45 GHz resonance by microwave absorption for MPDR cavities has been reported by Dahimene [13] and Frasch [77]. In addition, Frasch [77] has measured the relative magnitude of the electric field about the walls of the empty cavity using the same technique described in section 4.2. Knowledge of the electric field properties within the cavity is important in the design of the ECR magnetic field. As described in section 3.2.1. the ECR condition requires that the electric field have components which are perpendicular to the static magnetic field. Armed with the measured electric field patterns, an optimal ECR B-field geometry can be determined. The effects of cavity mode and B-field 48 49 geometry on plasma ion density and discharge stability are discussed in Chapter 5. 4.2 Microwave Electric Field Measurement Technique The basic method for electric field measurement involves placing a short antenna (i.e., the antenna length is much less than the wavelength of the radiation being measured, L< , o O ‘ 100 . 200 300 Angle (degrees) Figure 4.3a Theoretical and Experimental o-Dependence of the TE311 Mode Power (mW) ha 45 CD CD CD chLnllnlllannllllLll1:111:11IIIIIIILIII 0 S7 \\ lIlllIUlIgIllllTlllIlllll'll 15 . 10 Height (cm) Figure 4.3b Theoretical and Experimental z-Dependence of the TE311 Mode Power (mW) Figure 4.4a Experimental o-Dependence of a Hybrid Cavity Mode ‘4 (n 45 Cd ha d C) 58 llllllllllllllllllllllllljlljlllllllllllllllllllllllllllllllllllllll Cavity Height: 8.0 cm no C: TIITIIIII 166 ' '5' ' ”'260 Angle (degrees) and a Least Squares Fit (n=0,1,2) IITIITITT IIIIU 360 59 (d 45 Power (mW) o IIllIrIIIIIIIIIIIIIIIITIIIIIéIIIIIIIT I . 4. Height (cm) Figure 4.4b Theoretical and Experimental z-Dependence of a Hybrid Cavity Mode (q=1) 60 Cavity Height: 8.4 cm CD llJLLllll‘LLlllllIIIIJILIJLIIJIILIIILLI Power (mW) 2 u . m . U 1: . ‘0 w o . o IITrIIIII T1IIIUUIIIII—rl1 ITIIIIUIT o 160 200 300 Angle (degrees) Figure 4.5a Experimental ¢-Dependence of a Hybrid Cavity Mode with a Least Squares Fit (n=0,1,2) 61 2.0 —a C” Power (mW) P a: 0.0 .4 6 Height (cm) Figure 4.5b Theoretical and Experimental z-Dependence of a Hybrid Cavity Mode (q=1) 62 the cavity radius and Ls is the cavity height. The coefficient xup represents the pth zero of dJn(r)/dr. The azimuthal orientation of the field is determined by the constants b and c. In Figures 4.2a and 4.3a the solid line represents the normalized 2 | for the TEZlq mode and TE31q mode respectively. The experimental z-dependence of Er shown in Figures 4.2b theoretical values of |Er and 4.3b indicates that q=1, thus the TE211 and TE311 modes are identified as the dominant EM modes. The ¢-alignment of these modes is determined by the microwave input probe in both cases and implies c=0 in Eqn. (4.3). Figures 4.4a-b and 4.5a-b show two cavity modes which are the superposition of modes with eigenvalues n=1,2. The solid line was generated by a least squares fit of linear combinations of TEn11 modes (n=0,1,2). The n=1 contribution is evidenced in the two large lobes of strong radial electric field, and the n=2 component is inferred from the small increases in E-field between the n=1 lobes. Such hybrid modes are not possible in an empty, single-mode, cylindrical cavity but can exist here due to the perturbation of the cavity by the plasma and discharge chamber. 4.4 The Strength of the Cavity Electric Field The magnitude of EF (Figure 4.6) was measured using a micro-coax transmission line inserted so that it was flush with the cavity wall. The probe position was 4.4 cm above the cavity baseplate at 90° from the microwave input probe. All data were measured in the TE211 mode 63 Pressure (mTorr) 25onuInjgianunnnlnnniunn?n““1111?L111111u1l01n“.1112 fiat 250 watt: ’3 = ~\\J20€§ Z s V : 3:52 C 2'. (D Z ’- : a;31(): '2 E .93 S an (L9 rnTonr “r 5" Lu 5 E OIIIIIIIII IIIIIIlrI lurlrlill o 160 - 260 300 Power (W) Figure 4.6 Radial E-Field Strength vs. Pressure and Microwave Power at r=8.9 cm, ¢=9o°, and z=4.4 cm 64 with an octapole ECR. magnetic field and the cavity was slightly adjusted (< 1 mm) between each data point to maintain minimal reflected power. The lower curve in Figure 4.6 shows a linear dependence of electric field strength in the cavity with microwave input power at a constant pressure (0.9 mTorr) and gas flow (20 sccm, argon). The upper curve shows the field strength as a function of pressure for a constant input power of 260 watts. In this case, the field strength adjusts independently of the microwave input power to maintain a discharge under varying plasma conditions (e.g., discharge pressure). The variation of field strength may be initiated by a decrease in ion density as pressure decreases (below 4 mTorr). This will reduce the “plasma load" in the cavity. Reduction in plasma energy absorption is accompanied by an increase in cavity quality factor [79], Q, and a corresponding increase in the electric field strength within the cavity. The stronger electric field will tend, in turn, to maintain the plasma. One may make a similar argument to explain the observed increase in field strength for pressures above 7 mTorr. Here, however, plasma energy absorption decreases due to less effective ECR heating [441.[72] (reduced mean free path of electrons at higher pressure). Field strengths measured at the cavity wall 120° from the input probe and 4.4 cm above the bottom of the cavity in the TE311 mode are approximately 2.5 times greater than those measured at the same height, 900 from the input probe in the TE made under identical pressure, 211 gas flow and power (see, for instance, Figures 4.2 and 4.3). If Eqn. (4.3) is used to calculate the electric field strength near an ECR zone in the discharge based on the measured electric field at the wall for 65 each mode, one finds that (the electric fields in the active plasma region (ECR zones) are roughly equal for both TE211 and TE311 modes (see Table 4.1). The actual field strength at an ECR zone will be lower than listed in Table 4.1 due to attematlon by the discharge. Since the plasma ion density is nearly equal for each mode (Chapter 5), the fields from both modes will be equally attenuated and the comparison presented is valid. Here again one observes that the cavity electric field adjusts as necessary to maintain the plasma. Table 4.1 Comparison of Er(r,¢,z) in TE211 and TE311 Modes Mode Power Er(8.9cm,00,4.4cm) Er(4.0cm,00,1cm) at wall ECR zone TE211 260 W 18.9 kV/m 7.3 kV/m TE311 260 H 46.3 kV/m 6.9 kV/m 4.5 Concluding Remarks Several electromagnetic cavity modes have been identified by experimental measurement in the preceding sections. In the following chapter the plasma density for the various modes will be investigated, and it will be shown that plasma stability is dependent on the design of ECR regions which coincide with the electromagnetic mode. The electric field strengths measured here are useful data for future computer simulations of multipolar ECR plasma heating. Finally, it has 66 been demonstrated that the electric field within the cavity is increased or decreased by the resonant structure, independently of the microwave input power, so as to maintain a discharge under varying plasma conditions. Chapter 5 Double Langmuir Probe Measurements of Ion Density 5.1 Introduction One of the most popular and durable plasma diagnostic techniques is the measurement of positive ion density using a double Langmuir probe. Although this technique has several short-comings, it provides a good estimate of both ion density and electron temperature. Unlike most plasma diagnostic techniques, 2 the double Langmuir probe measurement requires no expensive, specialized equipment. Hence, the technique provides a satisfactory figure of merit for comparison of various discharges due to its easy accessibility to virtually all laboratories. Often a single Langmuir probe is used to measure electron temperature and electron density. The double Langmuir probe offers two principal advantages over the single probe. First, the double probe current is limited to the ion saturation current which is considerably lower than the electron saturation current that single probes must collect. Single probes are thus plagued by heating or even melting problems due to the large electron currents encountered in dense plasmas. Second, the double probe provides a complete electrical 67 68 circuit with the plasma. In contrast, the single probe requires a large, grounded conducting surface to contact the plasma and act as the second electrode. In this chapter the double Langmuir probe technique is used to determine the ion density generated by the electron cyclotron resonant microwave plasma disk reactor (MPDR). The theoretical aspects of the method are discussed as well as the pitfalls of the technique. The experimental description of this work shows an automated data acquistion system designed to quickly measure the Langmuir probe current-voltage relationship and reduce this raw data to determine the ion density and electron temperature. The results of the study of ion density are presented next. The ion density is measured as a function of time to determine the "warm-up" period for this reactor. In addition, the ion density is measured as a function of these discharge parameters: gas pressure, gas f low, gas type (argon, oxygen, SF6), microwave input power, electromagnetic cavity mode, and ECR magnetic field geometry. Double Langmuir probes also provide spatially resolved ion density data. The probe used in this work was mounted on a mechanical vacuum feedthrough such 5that the spatial distribution of ions could be determined by moving the probe. Radial and axial ion density profiles were measured in an argon discharge. These ion distributions are compared to a theoretical model for the ion density based on ambipolar diffusion theory. A generalized model is presented for cylindrically symmetric, end-fed plasma chambers. 69 5.2 Double Langmuir Probe Theory The double Langmuir probe was first used by Johnson and Malter [52] in 1950. The double Langmuir probe [501-[52] (see Figure 5.1) consists of two small conducting electrodes which are placed directly in the discharge being measured. A voltage is applied between the two conductors using an electrically floating (ungrounded) DC voltage supply. The resulting current is measured as a function of this voltage. The electron temperature and ion density may then be determined from the current-voltage relationship. A typical double Langmuir probe I-V curve is shown in Figure 5.2. Assume that the two electrodes are close enough together such the plasma floating potential is essentially equal at both surfaces but that the electrodes are more than several Debye lengths apart such that the plasma sheaths of each probe do not interact. With no potential difference applied between the electrodes, the potential of both electrodes is equal to the plasma floating potential. Under these conditions each probe collects equal numbers of electrons and ions and no net current f lows between the probes. When a small potential difference is applied between the two electrodes, the more negative surface begins to repel electrons. Hence a net current flows between the two probe surfaces with the more positive electrode collecting more electrons. As the potential difference between the electrodes is increased the negative electrode will eventually collect a current equal to the ion. saturation. current, 15, since all electrons are repelled. The curve is symmetric with respect to voltage when the electrodes are of equal area. 7O Plume Sheath F‘W (hmeeee effective probe em) 0 m Wee fleeting DC Voltage Supply Figure 5.1 Double Langmuir Probe and Circuit Inset: The Origin of the Effective Probe Area Due to the Plasma Sheath 71 ..bvoUIrIITtLhIoUIIUIII-i- IOIIUIIIII I llrtilizlotitrw Voltage (V) Probe Current (A) Figure 5.2 Typical I-V Curve of a Double Langmuir Probe 72 The electron temperature of the plasma can be determined from the double Langmuir probe I-V characteristic if it is assumed that the electron energy distribution function is Maxwellian. Consider the circuit in Figure 5.1. The current leaving one electrode must equal the current entering the other i = -i (5.1) Expressing each electrode current in terms of its electron and ion component, i - i = i - i (5.2) one may write an expression for the total ion or electron current, Ip' collected by the probe, I=1 +1 =i +1 (5.3) Since the jprobe telectrodes are floating, both surfaces are always collecting the ion saturation current, independent of the voltage applied. Therefore the total ion current (Ip) collected is equal to the difference of the two ion saturation currents, I = I - I (5.4) Here we use the Maxwellian electron energy assumption to express the electron currents in terms of the probe potentials, 73 I = i + 1 = I e('eV1/kTe) + I e(’eV2/kTe) p e1 e2 01 02 (5'5) 1 2 01 02 are the electron currents when the probe potentials are zero, and Te is where V and V are the potentials of the two electrodes, I and I the electron temperature. As stated earlier, we have assumed that the plasma potentials do not vary significantly between the two electrodes of the probe. It is then possible to write the voltage applied to the probe, V, as V = V — V (5.6) Equation (5.5) then becomes I I .2— = -91 exp (~eV/kTe) + 1 (5.7) IeZ I02 Finally, taking the natural log of (5.7) I eV I ln [ -E— - 1] = - -—— + 1n [ 01 ] (5.8) Ie2 kTe I02 From (5.8) it is possible to determine the electron temperature from the slope of the line In (Ip/Ie -1) vs. -eV/kTe. 2 Once the electron temperature has been determined, it is possible to calculate the 'ion density in the plasma from the ion saturation 74 current. Following the development of Chen [51], the ion density is related to the ion saturation current by 1/2 k'I'e Isz0.6n1eAp {-Tll: (5.9) where :11 is the ion density, e is the electronic charge, Ap is the effective probe area, and m1 is the ion mass. The effective probe area represents the increased ion collection area of the probe due to the plasma sheath (see Figure 5 1). 5.3 Possible Sources of Error in Langmuir Probes While the simplicity of the double Langmuir probe makes it useful as a good universal figure of merit for plasmas, there are several assumptions implicit in the method which may affect its accuracy. Some of these problems include non-Maxwellian electron energy distributions, secondary emission of electrons from the probe, perturbation of the plasma ‘by the ‘probe, estimation. of the effective probe area, and orbital motion limited current. As stated in section 5.2, the determination of the electron temperature of the plasma by double Langmuir probe requires one to assume a Maxwellian electron energy distribution. Physically, the probe method samples the high energy electrons present in the plasma and produces an electron temperature based on a Maxwellian distribution extrapolated from these electrons. If the high energy part of the electron energy spectrum is enhanced (e.g., by ECR) or depleted (e.g., 75 by inelastic collisions), the resulting electron temperature as measured by the probe will be inaccurate. Measurements of the electron energy distribution function (EEDF) indicate that the difference between the average electron energy calculated from the EEDF and the electron temperature as measured by a double Langmuir probe differ by as much as 15% in argon (see Chapter 6). EEDFs in SF6 discharges, however, are very non-Maxwellian and would be expected to deviate considerably more than 15%. Ions striking the surface of the probe will induce the emission of secondary electrons. These electrons will create an additional current which is indistiguishable from the ion saturation current. An estimate of the error caused by secondary electron emission may be determined by finding the secondary' electron yield for the probe material when bombarded by the primary ionic species of the plasma. Langmuir' probes are somewhat invasive in that they' provide a recombination site for electrons and ions in the plasma volume as well as acting as a source of free electrons. To reduce the effect of the plasma perturbation by the probe, the probe should be made as small as possible. There is a limit to the size of the probe, however, as discussed next. When the probe, or any object, is submersed in the plasma a sheath region forms around the probe which is on the order of a Debye length, Ad A = 69 T / n meters (5.10) e e 76 T6 is in K and ne is m-3. The Debye length is the distance over which a charge pertubation is shielded by the plasma. When a very small non-planar probe is inserted into the plasma, the effective area of the probe may be considerably larger than the physical dimensions of the probe due to the surrounding plasma sheath (Figure 5.1). To minimize this effect it is best to make the probe large compared to the sheath width so that the effective probe area (AP) may be accurately determined. Unfortunately, this design requirement is in contradiction with the desire to minimally perturb the plasma and some compromise must be determined. Finally, care must be taken with small, non-planar probes that the saturation currents are not orbital motion limited (OML) [80]. In general this occurs for probe radii less than one plasma Debye length. For OML current Eqn. (5.9) is not valid and erroneous ion densities will result from its use. 5.4 Experimental Determination of Ion Density 5.4.1 Probe Design The first step in the design of the Langmuir probe is the determination of the electron Debye length from Eqn. (5.10). Using an ion density of 1x1011 cm—:3 and an electron temperature of 6 eV, the Debye length is estimated at 50pm. To avoid OML effects and minimize the error due to plasma sheaths, the radius of the probe must be much greater than a Debye length. In this work two double Langmuir probes were constructed. Probe 1 consisted of two cylindrical tungsten rods 77 sealed in a pyrex tube. The exposed tungsten ends were covered with evaporated aluminum approximately 500 nm thick in anticipation of use in fluorine environments. Tungsten is quickly etched by fluorine but aluminum is essentially inert. The exposed cylindrical tips of probe 1 were 0.37 mm in diameter and 3.2 mm long. Probe 2 was constructed from 1 mm diameter uncoated tunsten rods with a 7.8 mm length exposed to the plasma. After compensation for the effective probe area (section 5.4.4) both probes gave ion densities which were consistent with each other within the experimental accuracy of the method. 5.4.2 Mechanical Manipulation of the Probe The probe could be manipulated within the plasma chamber using a rotary/longitudinal vacuum feedthrough. As shown in Figure 3.1, the feedthrough was installed in the bottom of the processing chamber. The distance of the probe below the plasma, d, could be changed simply by using the longitudinal motion of the feedthrough. Radially resolved data was collected by mounting the probe on a 11.7 cm long arm such that the probe passed through the center of the plasma on a circular path. The position of the probe, r, relative to the center of the plasma was determined by the rotation angle of the feedthrough, 9, r = 116.55 /1- cos 9 cm (5.11) where r>0 represents positions nearer the microwave input probe and values of r<0 are assigned to positions away from the probe. All 78 spatially resolved probe data taken within the plasma chamber were measured with this method. 5.4.3 Data Acquistion of the Probe I-V Characteristic The extraction of ion density and electron temperature from the double Langmuir probe requires knowledge of the current passing through the probe as a function of the voltage applied between the two electrodes. In general approx. 50 I-V data pairs are required for an accurate determination of the these plasma parameters. While it is possible to record this Idata ‘by' hand, a computer controlled. data acquistion can quickly take the data and perform the required data reduction outlined in section 5.2. The data acquistion circuit used in this work is shown in Figure 5.3. Since the double Langmuir probe must float with respect to ground, data acquisition of the probe takes special effort in isolating the sampling circuit from electrical grounding. In order to eliminate electrical ground paths, a Metrabyte DAS—8 analog-to-digital converter was isolated from the Langmuir probe circuit by a Metrabyte ISO-4 isolation amplifier. The ISO-4 allows voltages to be differentially amplified with 1500 volts of electrical isolation. In Figure 5.3 the voltage supplied to the probe is calibrated through a multiturn potentiometer, isolated through the ISO-4 channel with zero gain, and sent to the DAS-8 a/d converter. The probe current is sampled from the voltage drop across the current-sampling variable resistors. The ISO-4 gain is set to 50 such that the maximum allowed voltage drop across the current sampling resistor is 100 mV. The difference between the 79 to all «mammal-fl» Figure 5.3 Data Acquisition Circuit for the Double Langmuir Probe 80 sampled voltage and the actual probe voltage is therefore always less than 100 mV, which introduces negligible error. The ammeter and voltmeter shown in Figure 5.3 are used for the initial software calibration of the data acquisition system. The voltage from the floating DC supply is manually swept while the computer takes samples at preset voltage intervals (typically 1-2 volts). Since the probe current can be quite noisy, each data point is sampled 100 times and averaged to obtain a reliable I-V trace. 5.4.4 Reduction of the Probe I-V Characteristic Once the raw I-V data has been captured from the probe, the data must be reduced to yield the electron temperature and ion density. In this section. a novel technique for consistently’ determining these parameters is introduced. From Eqn. (5.8) the electron temperature may be determined from -1) vs. Va. The Langmuir probe data 2 will produce a straight line provided that the data points used all lie the slope of a plot of ln(Ip/Ie between the positive and negative ion saturation currents. Typically the ion saturation currents are estimated by drawing three tangent lines to the I-V data. Two tangent lines coincide with the ion saturation regions of the probe characteristic and the third passes through the data near the origin. The intersection of these tangent lines is often used as the ion saturation current. An improved method for the experimental determination of the ion saturation current is now proposed. For this method, two ion saturation currents are chosen as outlined above. A plot of the data between these saturation currents 81 is then generated using Eqn. (5.8). If this plot is linear then it is assumed that the initial guess of the ion saturation currents was too low. Conversely, if this plot shows non-linear behavior near the extrema of the data, the initial guess of the ion saturation currents was too large. Using this algorithm the ion saturation current is iteratively determined. One word of caution is due: for substantially non-Maxwellian electron distributions, Eqn. (5.8) will never produce a linear plot and the validity of the Langmuir probe technique is questionable. Once the ion saturation currents have been determined as discussed above, Eqn. (5.9) is used to determine the ion density. The effective probe area is estimated in this work. by including a sheath region surrounding the probe which is two Debye lengths wide. Since the Debye length is a function of ion density (assuming a charge neutral plasma, n=n e 1), and the ion density depends on the effective probe area (and therefore on Debye length), it is necessary to self-consistently solve for both Debye length and ion density. Here an iterative technique is used wherein the ion density is first calculated assuming Ad = 0. Then this ion density is used to find a first estimate of the Debye length. This Debye length is used to compute an estimate of the effective probe area. At this point the cycle is repeated by determining the ion density again. This iteration is repeated until the ion density is unchanged from one cycle to the next. 82 5.5 Experimental Ion Densities Following the procedures above the ion densities in the processing chamber of the multipolar ECR microwave plasma source were determined. Unless otherwise noted the TE211 cavity mode with an octapole magnetic field *was ‘used and. all measurements were made with the microwave confinement grid (piece (34) of Figure 3.1) removed. 5.5.1 Transient Response Initial experiments hinted that the ion density was somewhat variable as a function of time. In an effort to quantify the time dependence and identify the source of this variation, the ion density of an argon discharge was measured vs. time. Experimental conditions were chosen to represent typical operating values: 260 watts of absorbed microwave power, 20 sccm gas f low, 0.9 mTorr chamber pressure. The microwave confinement grid was not used and the Langmuir probe was positioned at r=0 and d=0. In Figure 5.4 the resulting time dependence of ion density is shown. At t=0 the discharge was initiated and the measured ion density was near 5x1011 cm-3. The ion density then was observed to increase for the following 20 minutes until reaching a steady state value of 6.3x1011 cm-3. The electron temperature decreases from 70 000 K at t=2 minutes to 66 000 K at t=32 minutes. The exponential-like increase of ion density with a time constant on the order of 10 minutes suggests that the observed variation is due to thermal effects. When the discharge is initiated the plasma confinement surfaces are cooled at or below room temperature by the lon Density (cm—3) 1012 10" 83 0 II'IIIIIIIUIIIIIITI[IIIIIIIUIIIIITITII . 20 Time (min.) Figure 5.4 Turn-on Transient of the MPDR Ion Density 84 water channels in the baseplate. Energy from the discharge must then be deposited on the walls in greater amounts until an equilibrium between plasma temperature (neutrals and ions) and the discharge containment vessel is reached. During this warm-up period the available input energy is constant. It is therefore proposed that the fraction of the energy available for ionization is reduced by enhanced thermal losses during the first 20 minutes of operation. From a practical viewpoint it should be noted that a 20 minute warm-up period is necessary before critical plasma diagnostics are performed. This practice was observed throughout this work except when plasma etching. 5.5.2 Gas Pressure and Gas Flow Rate Ion densities were measured as a function of pressure and f low rate. Pumping speed, gas flow rate and chamber pressure are interrelated in a vacuum system as suggested by the upper curve in Figure 5.5. From this curve the relationship between flow rate and chamber pressure can be deduced for argon gas. The diffusion pump speed was maximum for this set of data. The microwave power absorbed for the measurements taken in Figure 5.5 was 260 watts and the Langmuir probe was positioned at r=0 and d=0. As the argon pressure and gas flow are increased, one observes a corresponding increase in ion density due largely to an increase in the availability of neutral particles and a corresponding increase in ionization frequency. Also at higher pressures the loss of ions and electrons by recombination at the chamber walls is reduced [13]. This is due to decreased diffusion coefficients. Ion Density (cm—.3) 85 1o 12 4 39.5 5363mm” 19.6 eccm 4.7 acorn Ar 10 acorn Oxygen 10 I. ... I 1 I I I I I I] I I I I I l I 10 10 Figure 5.5 5 1 PressUre (mTorr) Ion Density vs. Pressure and Gas Flow 86 The lower set of data in Figure 5.5 is the measured ion density of an oxygen discharge. The oxygen mass flow controller available for this experiment was limited to a maximum flow rate of 10 sccm. To achieve pressures high enough to sustain a discharge the high vacuum gate valve between the diffusion pump and the processing chamber was partially closed. The throttling of the gate valve effectively reduces the pumping speed of the diffusion pump allowing higher operating pressures for low gas flow rates. The oxygen ion density was observed to increase slowly as pressure is increased. The error bars in Figure 5.5 represent the uncertainty in the oxygen ion mass to be used in Eqn. (5.9). The lower limit was calculated assuming that 0+ was the only positive ion species in the discharge, and the upper limit assumes only ... O2 exists in the plasma. 5.5.3 Microwave Power Absorption The microwave power absorbed by the cavity and plasma was varied from 50 W to 260 W while sustaining a 20 sccm argon plasma at 0.9 mTorr. The family of curves shown in Figure 5.6 displays the measured ion densities for various cavity lengths and numbers of ECR magnets. In this section we will be concerned with the TEZ11 mode using eight (8) magnets represented in the figure by the open circles (a). At the minimum stable output power of the microwave source (50W) the plasma density measured at r=0 and d=0 is 1.8x1011 cm-3. Ion density increases rapidly with increasing power from 50 to approximately 100 W. Above 100 W the ion density is observed to increase more slowly with increasing power. This slower increase of 87 7E+011 6E+011 3) (IDEJ<> l 55+011 4E+011 3E+011 2E+Oi1 Ion Density (cm 1E+011 OE+OOO IrllIIITIIIIIIIIIIIIIIIIIIIUI]IIIIIIIII]II1IfiIII'IIIIIII so. 1002 150 200 250 zoo Microwave Power (W) C: IllinlnInllliliinnlllnnnnnllIIIIInniiil[1111111111111111111Inilinilnl Figure 5.6 Argon Ion Density vs. Microwave Input Power for TE211 Mode with Octapole Magnetic Field (0), TE 311 with Hexapole (A). TE211 with Hexapole (0), and TE with Octapole B-Field (a). 311 88 ion density at higher power levels as been observed elsewhere [391.[44] and may be caused by neutral species depletion. At 6x1011 cm-3, however, the~ plasma is only 5-10% ionized (p = 0.9 mTorr, T8 = 300-500K). An alternative explanation follows. Due to long range Coulomb forces, the ion-electron collision cross section is significantly larger than the electron-neutral collision cross section. It is therefore suggested that ion-electron collisions may begin to dominate over neutral-electron collisions in the discharge for even moderate degrees of ionization. The increased electron collision frequency impedes the ECR heating of the plasma. This mechanism, as well as an increase in diffusion losses, may explain ion density saturation observed above several percent ionization. 5.5.4 Cavity Mode and B-field Geometry As discussed in Chapter 3, the position of the sliding short in the cavity determines the geometry of the electromagnetic fields within i the cavity. The electric field has been measured under discharge conditions and several cavity modes have been identified (see Chapter 4). Figure 5.6 shows the ion density vs. power for the TE211 and TE311 modes. The electric field lines which exist in the cavity cross section for each of these modes are shown in Figure 5.7. Note that the TE211 mode is characterized by four lobes of intense electric field and the TE311 mode exhibits six lobes. Since it is beneficial to place ECR-strength magnetic fields in the region of high E-field intensity, each. of these modes of operation. may' be somewhat optimized by a different magnetiC5field geometry. For the TE311 mode six alternating 9O pole magnets were placed around the discharge region such that the magnetic cusps associated with this hexapole field align with the microwave electric field. Likewise, the TE211 electric field geometry suggests the use of a four- or eight-cusp magnetic field. The octapole configuration was chosen since a quadrapole geometry may leave the magnets too widely spaced for effective multipolar plasma confinement. ECR plasma excitation requires that the static magnetic field have a component perpendicular to the microwave electric field. The magnets were therefore oriented as shown in Figure 5.8 such that electric and magnetic fields cross nearly perpendicularly. Referring back to Figure 5.6 one sees that the ion density is only slightly affected by choice of mode or magnetic field geometry. The significant difference in performance between the various configurations shown is plasma stability at low microwave powers. Each curve in Figure 5.6 was taken beginning at 260 watts. The power was then reduced while continually tuning the cavity until the plasma extinguished. Although this technique of determining plasma stability is slightly dependent on the operator’s skill, a qualitative determination of plasma stability for the various modes can be made. Clearly the most stable configuration is the octapole magnetic field in the TB mode. Plasmas could be sustained at 0.9 mTorr argon with 211 less than 60 watts of power. The TE mode with a hexapole field 311 shows slightly less stability. Under the same discharge conditions the plasma required approximately 100 watts of microwave power. Both of these configurations represent an alignment between the ECR magnetic field and the electric field. The performance difference may be attributed to less effective charged particle confinement by the 91 Figure 5.8 Preferred Alignment of the ECR B-Field and the Microwave Cavity Electric Field (TE211 static magnetic field) mode and an octapole 92 hexapole field. The remaining two curves represent ion densities measured with an intentional mismatch between electric and magnetic fields. The TE211 mode operated with six magnets and the TE311 mode operated with eight magnets cannot possibly be aligned such that each high intensity electric field lobe coincides with a magnetic field cusp. The result is that each configuration requires at least 150 W to sustain a plasma which required only 50 watts using an aligned field geometry. The thoughtful design of the magnetic field geometry for a given electromagnetic cavity mode can clearly enhance the low power performance of these plasma sources. Further improvements may be made by careful modeling of ECR heating in the discharge zone. 5.6 The Spatial Distribution of Ions Double Langmuir probe measurements of ion density are made in the downstream processing chamber using two operating configurations. The first scheme uses a stainless steel grid with 407. transparency to separate the upstream discharge-generation volume from the downstream processing chamber (i.e., d=0). The purpose of the grid is to confine microwave radiation in the cavity and discharge zone. The second configuration operates with the microwave confinement grid removed. This allows microwaves to radiate from the cavity when no plasma is present. Once a discharge is initiated, however, and the electron density is above the critical density (7x1010 cm-B). the microwave radiation cannot propagate through the discharge region into the processing chamber. 93 5.6.1 Processing Chamber Ion Density with the Grid The spatial distribution of argon ions in the downstream processing chamber is shown in Figure 5.9. Radial measurements were taken at d = 7, 32, and 52 mm below the confinement grid. Discharge conditions were 20 sccm argon (0.9 mTorr) and 250 watts of microwave power. The peak density is nearly constant at 3x1010 cm.3 at 7 mm below the grid indicating that the ion density within the discharge chamber is highly uniform. This observation is consistent with a free-fall [201.[81] diffusion dominated discharge within the discharge chamber. Ions and electrons within a free-fall. diffusion dominated discharge exhibit a uniform density central region with rapid density decay near the vessel walls [81]. The plasma conditions required for free-fall diffusion to dominate the charge distribution within the plasma are essentially that the mean free path of the charge carriers be longer than the characteristic diffusion length of the containment vessel. Mahoney [20] and Dahimene [13] have observed free-fall diffusion characteristics in Te vs. CPA plots [82) in similar discharges. The characteristic diffusion length, A, for a cylindrical discharge is 2.405 2 n '1/2 r L where r is the discharge radius and L is the discharge length. For r = given by 4.5 cm and L = 6 cm, the characteristic diffusion length is 94 10" I q 7’nmm bio-’gfld l"s - (3 C) (3 '1) Jinan: ‘3 O U D n E c, . (J V 52"!“ D A O 310“- D A A El ‘35 : £5 13 as : C) 13 (5 O - a C2 - £5 .9 10. IIIIIIIIIllIIlIllerITTIllIIIIITITIIIIIIIITTTII -5.0 -3.0 -1.0 1.0 3.0 5.0 Distance from Center, r (cm) Figure 5.9 Spatial Variation of Argon Ion Density in the MPDR Processing Chamber (with grid in place) 95 A = 1.33 cm. The mean free path for argon ions at 1 mTorr is approximateky 5 cm [38]. Clearly free-fall diffusion conditions are met within the discharge zone of this plasma for pressures less than a few millitorr. As one investigates the ion density further downstream in the larger diameter (and, hence, longer diffusion length) processing chamber, the ion densities begin to exhibit Bessel-function-like shapes. This is an indication that free-fall diffusion conditions no longer exist in the processing chamber and the spatial distribution of ions obeys ambipolar diffusion principles. As the name implies, ambipolar diffusion is characterized by ions and electrons diffusing at the same rate. The species are prevented from diffusing independently of one another by Coulomb attraction. This occurs when the charge densities are relatively large such that the mean separation between positive ions and electrons is small. Unlike free-fall diffusion, however, the mean free path of the ions is now less than the characteristic diffusion length of the plasma containment vessel. In the processing region r = 23 cm and L = 53 cm. This gives A = 8.3 cm which is 1.6 times larger than the mean free path of the argon ion at 1 mTorr. In section 5.6.3 an ambipolar diffusion model will be applied to the processing region. Using the double Langmuir probe with an evaporated aluminum protective coating the ion densities were measured in a typical silicon etching environment. The input power used in measuring the data presented in Figure 5.10 was 260 watts with a gas mixture of 16 sccm argon and 4 sccm SF The resulting chamber pressure is 0.95 mTorr. 6' The densities reported in Figure 5.10 are only estimates since the 1011 Ion Density (cm—3) 10’ 96 ..a o 3 l LJIII [ALIILI l 5 . s i \‘ o \ \ Y i I '1 U \‘ 111111II1]I11IIII1TII1IIIIII11I1I”IIll]Ililrrlll -5.0 -3.0 -1.0 1.0 3.0 5.0 Distance from Center, r (cm) Figure 5.10 Ion Density in SF6 (4 sccm) + Ar (16 sccm) Plasma 97 actual ion mass for this gas mixture is unknown. Since the discharge is primarily argon, the ion mass used in Eqn. (5.9) was 40 a.m.u. (i.e., the mass of Ar+). In spite of this approximation, the spatial variation of ion densities for the etching gas mixture is nearly the same as for pure argon and the above discussion of diffusion models applies. 5.6.2 Processing Chamber Ion Densities without the Grid In this section the ion densities were again measured spatially in an argon discharge. This time, however, the microwave confinement grid was removed such that charged and excited species could freely diffuse from the discharge region into the processing chamber. The absence of the grid allows microwave radiation to propagate into the processing chamber when no plasma is present in the discharge chamber. Hence, for safety reasons, it is imperative that the discharge be initiated quickly once microwave power is applied to the cavity. In addition, it is important to monitor microwave radiation levels in the laboratory during operation of the source to ensure that no wave propagation occurs through the plasma (e.g., magnetized plasma waves) [73]. Radial ion densities measured in a 0.9 mTorr, 20 sccm argon discharge are plotted in Figure 5.11. Microwave power absorbed was 260 watts. The upper curve in the figure represents the ion density at d80, that is at the plane of the removed microwave confinement grid. Note that the density over a 5 cm central region is quite uniform at 11 6x10 cm-3. This high degree of uniformity indicates that free-fall diffusion of charged particles continues to dominate the discharge 98 10“ IJJII 10" Ion Density (cm—3) ‘0 10 1IIIIIIliiuulriliiTIIlljlvlllr -3. .-4 0 4 8 Radial Distance from Center (cm) Figure 5.11 Radial Variation of Argon Ion Density in the MPDR Processing Chamber (with grid removed) for d=0, 1, 2, and 4 cm below the Discharge 99 region even with the grid removed. Further downstream in the processing chamber the ion density distributions become more Bessel-function-shaped implying ambipolar diffusion. The ion density as a function of distance downstream from the discharge region is plotted in Figure 5.12. The density decreases exponentially from 6x1011 cm-3 at d=0 mm. The exponential decrease in density is an expected effect from the ambipolar diffusion of charged particles (see Eqn. 5.25). Plasma processes which are dependent on ion flux will be expected to have the fastest processing rates near d=0. The most dramatic change observed when the microwave confinement grid is removed is a 17-fold increase in ion density. Referring back to Figure 5.10, one sees the central ion density at d=7 mm is 3x1010 cm-a. With the grid removed the ion density at d = 10 mm is approximately 5x1011 cm-3 at the center of the discharge. This result shows that the microwave confinement grid acts as an electron-ion recombination site. Processes which require large ion fluxes should benefit from the removal of this grid while processes sensitive to ion bombardment can be protected by the grid. The etching of silicon with and without the grid in place is discussed in Chapter 9. 5.6.3 An Ambipolar Diffusion Model The distribution of charged species below the disk-shaped plasma generated by the microwave plasma disk reactor (MPDR) can be determined from the solution of the ambipolar diffusion equation [83]: DaV2n(r,¢,z) + v1n(r,¢,z) = 0 (5.13) 100 10‘: A - Ar (’3 .. Oxygen 20 scorn IE 10 scorn 10 ": O I.“ v j >‘ .. t u (f) .. C (D D 10 “-3 g i 10. ITUI UI‘I ITUI 11" '1'! UUIT —2 6 i ii ('5 é 10 Distance Below Discharge (cm) Figure 5.12 Downstream Argon and Oxygen Ion Density vs. Distance below the Discharge, d. 101 where Da is the ambipolar diffusion coefficient, v1 is the ionization frequency and n(r,¢,z) is the ion density. Equation 5.13 assumes that the pressure is less than approx. 100 mTorr such that electrons and ions cannot recombine in the plasma except at the walls of contaiment vessel. Recombination is forbidden in the volume of the plasma at these low pressures due to the extremely low probability of three-body collisions ‘whichi are required for electron-ion recombination. In addition, electron attachment processes are neglected in Eqn. 5.13. This assumption is appropriate for noble gas plasmas such as argon where the valence shells of the atoms are completely filled. Using a standard separation of varibles technique, the density, n(r,¢,z), may be written as a product of solutions in the form n(r,¢,z) = R(r)¢(¢)2(z). (5.14) The cylindrical symmetry of the reactor suggests that solutions may be axially symmetric. With this assumption we may immediately write ¢(¢) = C, a constant. Applying the separated form of the solution to the ambipolar diffusion equation yields two ordinary differential equations (ODEs) for R(r) and 2(2), respectively. 1 6 6R 1 __ r_ = ___3 (5.15) rR or or A r 2 12 g L (5.16) 2 622 A2 2 where 102 1 - -—- + A (5.17) NM The general solution for the first ODE above is a linear combination of a zero order Bessel function of the first kind and a zero order Bessel function of the second kind. Since we seek the solution in the region where r = 0, Bessel functions of the second kind do not provide physical solutions. (Yb(0) diverges to negative infinity.) The processing chamber of radius a acts as a sink for electron-ion recombination and suggests boundary conditions such that the electron and ion density are zero at its surface: a R(a) = Jo[ -A: ] = 0 (5.18) This is satisfied when Ar is chosen such that A = — (5.19) Here xhn signifies the nth root of the zero order Bessel function of the first kind, and {n | n 6 1,2,3....}. The second ODE has solutions of the form 2 2 2(2) = A exp [ - -—— ] + B exp [ -—’ ] (5.20) A z A z 103 It can be argued that the coefficient B must be zero since the solution must remain finite as 2 becomes large. The solution may now be written as a product of the two separated solutions above. xonr z ne’1(r,z) = Z An Jo[ a ] exp[- 7;] (5.21) The final boundary condition is imposed by the presence of the plasma at the z = 0 plane of the bell-Jar. At very low pressures, the plasma diffusion process in the MPDR is free-fall dominated (Langmuir-Tanks). The electron. and ion. densities in this type of discharge may be characterized by nearly uniform distributions throughout the plasma volume with a rapid decay of density near the containment vessel walls. With this in mind, the boundary condition at the z=0 plane is approximated as No r 5 b n (r,0) = (5.22) e,i O r > b where b is the plasma disk radius. Although this may seem to be an oversimplification, experimental measurements in the preceding sections have verified its validity. Additionally, the diffusion equation may be solved for any axially symmetric, piece-wise constant boundary condition at the z = 0 plane by superimposing solutions of this kind for varying radii, b, and peak densities. Continuing with the solution, we wish to obtain the coefficients, An, from this last boundary condition. If both sides of Eqn. (5.21) are multiplied by rJo(xomr/a) and integrated from zero to a, we obtain 104 an x x r] rdr J! E: An Ja[ :n r] Jo[ :m r] rdr (5.23) 0 NJ[ 0 o 0 n=1 The right hand side above is non-zero only if n = m since the Bessel functions are orthogonal. Using a table of integrals both integrals may be solved in closed form giving )4 2.14 *2") m a2 2 E [ J1(Xom)] Consolidating all of the above information, the solution can be (5.24) written as x on a J [————fq _ 2b 1 i a xonr ne’1(r,z) - NOE—J Z a —2—-—— Jo[ a J exp [ -kzz] (5.25) n=1 J (x ) 1 on where kz=/[:on The ambipolar diffusion solution developed above is now applied to )2 _ [ ”i ] (5.26) D a the MPDR processing chamber. We take the outer radius, b, to be the radius of the vacuum port in the stainless steel top-plate (Figure 3.1, piece (35)) of the processing chamber (a = 8.9 cm). The diameter of the discharge, b, is estimated to be slightly less than the radius of 105 the quartz plasma chamber to compensate for regions of low density found near the walls. For this calculation b=3.5-4.0 cm produced results which agreed best with experimentally measured densities. r The eigenvalue kz requires knowledge of the ionization frequency, v and the ambipolar diffusion coefficient. The ionization frequency 1’ may be calculated from v1 = ne (5.27) where 0Ar is the ionization cross section [84] for argon and v is the electron velocity. The expectation value notation, <--->, denotes normalized integration over a Maxwellian electron energy distribution function, f(E). «1» = I (mm dE / I f(E) dE (5.28) v can be easily Using a 'piecewise-linear approximation for oAr’ 1 determined from [13] v = n a (kTe)3/2 (1 + e 1 e 1 /2kTe) exp(-ci/kTe) (5.29) i where me is the electron mass, ne is the electron density, 8 is the i threshold ionization energy of argon (15.7 eV). and a1 is the slope of the ionization cross section of argon above a (1.15x10-17 eV-cm-z). 1 At a pressure of 1 mTorr with an electron temperature, Te, of 45 000 K, v1 is approximately 15000 5-1. The diffusion coefficient may be 106 determined from the argon ion mobility, “1’ by the Einstein relation, Da = p1(kTe/q). Using u1(760 Torr) = 1.6 cmZ/volt-sec [85], the ambipolar diffusion coefficient at 1 mTorr is 5x106 cmZ/sec. It is now possible to observe that at pressures on the order of 1 mTorr (or less) the ratio of vi/Da is always much less than (xon/a)2 and hence kz may be approximated as k 22 x /a (5.30) Equation 5.25 was used to compute the ion density as a function of r and 2 within the processing chamber. The summation was truncated after n=50. Figure 5.13 displays the results of the calculation plotted with the experimental data from Figure 5.9. The constant No was chosen such that the data point at d=32 mm, r=0 mm fit experimental data. Reasonably close agreement between the ambipolar model and experimental results were obtained indicating that the discharge is indeed dominated by ambipolar diffusion in the downstream processing chamber. In particular, the model shows a fairly uniform central region with rapid density decay near the edges of the plasma source near d=0 mm. Downstream the plasma becomes less uniform and less dense as species diffuse away from the center toward the chamber walls. At large distances from the discharge region, however, the plasma again becomes more uniform but considerably less dense. These results suggest two different plasma processing geometries which posess uniform ion densities. One method suitable for small area substrates (less than 2 inches in diameter) is to place the sample within 10 mm of the discharge region. The high ion densities near the 107 10" l ‘- 1'mmnlmknigfld z-x. - (D (J C) C) C) r") em 0 IE q 0 3 - m 3 MA .3 . O .4210": a A ‘ ‘ n m d A c: . a) .. 0 A A O - a 8 - A 10. FIITIIIIjUIITTII‘I]IIIIIIIIIIII1ITIIIIIIITTIIln -5.0 -3.0 -1.0 1.0 3.0 5.0 Distance from Center, r (cm) Figure 5.13 Comparison of an Ambipolar Diffusion Model for the Spatial Variation of Ion Density with Experimental Measurements (see text) 108 source should provide high process rates. If larger samples are to be processed uniformly the sample should be moved to a distance greater than 60 mm. Processes dependent on ion interaction will proceed less rapidly in this configuration, but larger substrates may be treated uniformly at an appropriate downstream idistance. As an example, silicon etching results of 76 mm (3 inch) wafers are discussed in Chapter 9. The ion uniformity in the processing chamber is clearly dependent on diffusion processes. By controlling diffusion in the chamber the ion density may be engineered for improved uniformity. For example, multipolar magnetic confinement [49] in the processing chamber may be employed to reduce radial diffusion losses thus increasing density and improving uniformity. Finally it should be noted that all of these measurements were made without a wafer in the processing chamber. The presence of a wafer will change the boundary conditions and reduce the diffusion length, A, in the processing chamber. Since the diffusion length is shortened by introducing a wafer into the chamber, particle diffusion characteristics may exhibit behavior more like free-fall dominated discharges. Plasma characteristics should, therefore, be more uniform under actual processing conditions. Chapter 6 Electron Energy Distribution Functions and Plasma Potential: The Single Langmuir Probe 6.1 Introduction In ECR plasmas electromagnetic energy is coupled directly to the electron gas. Individual electrons gain energy from the microwave field until they either drift out of the region of ECR excitation or collide with another plasma constituent. Since the collision processes are random in nature, the electrons in the plasma will not be monoenergetic. Rather, they will exhibit a distribution of energies which depends on both the energy gain mechanisms (ECR and Joule heating) as well as the energy loss mechanisms (nearly elastic and inelastic collisions). In this chapter an experimental study of the - distribution of electron energies downstream from the MPDR-generated plasma in the processing chamber is presented. A better understanding of the physical and chemical kinetics of these discharges and a survey for potentially damaging high energy electrons motivates this study. Reaction rates for many plasma processes can be determined using published reaction cross sections in conjunction with the electron energy distribution function (EEDF). Ionization, excitation, dissociation, and attachment rates calculated from experimental EEDFs can lead to valuable insights about discharge 109 110 physics. Plasma procesSes are often sensitive to damage induced by the plasma. One form of damage which occurs in plasma processes is caused by high energy electron bombardment. By examining the EEDF, one may ascertain the potential for energetic electron damage. 6.2 Measurement of the EEDF: Theory and Experiment The electron energy distribution function of a plasma may be determined by immersing a single Langmuir probe in the discharge. The current-voltage relationship of the probe yields both the plasma potential and the EEDF. 6.2.1 Theory of Measurement Dryvesteyn [86],[87] showed in 1930 that the second derivative of the current (Ip) with respect to voltage (VP) of any non-concave Langmuir probe is proportional to the EEDF, f(E), {(E) a V - V p (6.1) where E = Vs - VP, the plasma potential, Vs, minus the probe voltage, Vp, relative to ground. The plasma potential is taken to be the voltage at which the second derivative of the I-V characteristic is maximum [881.189]. All EEDFs reported in this work have been normalized such that 111 I f(E) dE = 1. (6.2) O The average electron energy was calculated from the EEDF by = E f(E) dE (6.3) 0H8 6.2.2 Experimental Configuration Figure 6.1 shows the circuit used for obtaining the experimental second derivative required for evaluation of Eqn. (6.1). A small 1 kHz sinusoidal voltage (50.5 Volts RMS) is superimposed on the DC probe voltage using an audio frequency transformer. A Princeton Applied Research (PAR-128A) lock-in amplifier differentially measures the voltage across a current-sampling resistor at twice the oscillator frequency. The output of the lock-in amplifier is proportional to dZIp/dV§ at the applied DC potential [88]. The DC voltage drop across the 1000 current-sampling resistor was always less than a few tenths of a volt since the maximum probe current was less than a few milliamperes. Time constants of 3 seconds were selected for the internal filters in the lock-in amplifier to eliminate noise due to transient plasma potential fluctuations thus providing more accurate distribution function data for high energy electrons. These long time-constants required a settling period of at least 10 seconds between each data point; a single EEDF could be determined in approximately 10 minutes using this method. The single probe was 112 (Fl/CV3 «cream Figure 6.1 Circuit for the Measurement of the EEDF 113 positioned vertically in the processing chamber (see Figure 3.1) such that the electron collection cross-section normal to the plasma beam (Chapter 7) is negligible. It was found to be necessary to sputter clean the probe by applying a -60 volt bias after each EEDF was measured to ensure accuracy and repeatability. 6.3 EEDF Results and Discussion In an ECR discharge the power coupling mechanism between microwaves and electrons in the plasma is considerably different than that of non-ECR microwave plasmas. In the absence of collisions and magnetic fields, electrons are unable to gain any time-average energy from a time-varying electric field. Maximum power transfer occurs when the electron-neutral collision frequency is equal to the electric field frequency, w [72]. This maximum in the power transfer characteristic occurs typically at gas pressures on the order of 1 Torr for a) = 1.54x1010 rad/sec. (2.45 GHz) and is a principal reason for difficulties involved in sustaining a low pressure microwave discharge. In ECR plasmas, however, the electric field frequency is equal to the electron-cyclotron frequency (w - eBce/me). The resonance of the orbital motion of the electron with the sinusoidal electric field allows power to be transferred directly from the microwave field to the electron. If electron-neutral and electron-ion collisions are infrequent (i.e., low pressures, typ. <70mTorr [40]), the electrons in the plasma may gain considerable energy in the ECR regions of the discharge» While this is beneficial for sustaining low pressure discharges, a. question arises about the existence of high energy 114 electrons in the plasma processing chamber and their effects on the plasma process (e.g., damage). To this end and to help understand the plasma chemistry, the electron energy distribution functions (EEDFs) of multipolar ECR discharges in 0 Ar, and SF + Ar at various pressures, 2’ 6 powers, and positions were measured. 6.3.1 Comparison with Maxwellian and Druyvesteyn EEDFs To a large extent plasma characterization, indeed all of double Langmuir probe theory, assumes a Maxwellian distribution [90] of electrons in the discharge. The Druyvesteyn distribution is another theoretical, analytical distribution function used to describe discharge physics [91]. The actual EEDFs generally do not follow either of these theoretical models. As shown in Figure 6.2, the experimental EEDF measured 8 cm below the discharge in a 0.9 mTorr argon discharge at 120W lies between the Maxwellian and Druyvesteyn EEDFs of the same average energy showing that there are fewer high energy electrons in the discharge than predicted by a Maxwellian distribution. Similarly shaped EEDFs have been measured in divergent field ECR reactors [40] and non-ECR microwave plasmas [88]. The absence of high energy electrons in the processing chamber due to the ECR heating process is attributed to the localization of ECR zones in the discharge region. Electrons in the ECR zones may have energies in excess of those measured downstream but have lost their energy due to elastic or inelastic collisions in or near the ECR region prior to 115 0J6 - - - Druyvesteyn Distribution, (E) -5.7eV Maxwellian Distribution, (E) -5.7 eV 0 o 0 Ex erirnental, 0.9mtorr Ar, 120 Watts < ) - 5J'eV o o. . 0J2 ° 1: o ,/ ‘\ I . \ I 3 ‘ 0, \ /~\ 0 \ LL] 0.08 1 ° \ v I O u— o \ 1’ o \ l o \ 1 "\ 0.04 l %\ A 0‘ A. \'m. \ -, (L00 " """ 10 15 20 25 5 Electron Energy (eV Figure 6.2 Comparison of the Measured EEDF in Argon with Maxwellian and Druyvesteyn Distributions 116 diffusing or drifting into the downstream processing chamber. In addition, high energy electrons may be magnetically trapped in the multiple magnetic cusps of the discharge chamber. 6.3.2 Pressure Dependence of the EEDF The EEDF variations vs. pressure in a discharge consisting of 20 sccm of argon gas sustained by 260 watts are shown in Figure 6.3a. A small high-energy tail in the distribution function appears at pressures above 5 mTorr. It is believed that this tail is caused by inelastic electron scattering phenomena rather than a direct result of ECR heating. If the high-energy tail were a direct result of ECR, one would expect the tail to become more pronounced at lower pressures (where collisions are less frequent) rather than disappearing as the pressure is reduced as was observed in this discharge. Figure 6.3b plots the plasma potential and average energy obtained from the experiments of Figure 6.3a. At pressures below 3 mTorr the average electron energy increases rapidly with a corresponding increase in plasma potential. An increase in electron energy with reduced pressure is required. to sustain the» discharge by increasing the ionization frequency as electron energy losses due to diffusion increase. Figures 6.4a and 6.4b show the EEDF and plasma potential in a 10 sccm oxygen discharge using 260 watts of microwave power. Here the high energy tail which was observed in the higher pressure argon plasmas is not observed. There is, however, additional fine-structure in the EEDF which requires further study. Similar to argon plasmas, average electron energies rapidly increase with decreasing pressure. 117 0.2 F(E) 0.1 N. -\ 0 q, 5 I la "a a £729“ 0 4 0.: (a; 9 d" a. ('91,) Figure 6.3a Argon EEDFs Measured in the MPDR Processing Chamber vs. Discharge Pressure 118 30 15 I I > . _ < A - . SD 32 - '1 I '3 —2o- -10m .9 - Vs - 0 5'5 1 0 OZ ’5 .33 I I 3 0 II )- 0. 2 - m 5 5 3 0m: a 2:2 “3:5 8 E -i {I - LG (I) 5 ;< .9 I t o. - ~39 5 5 < : :v .J . 0 III]IIIIIT111IIIIfiIIIIIIIIII[Ifli 0 o 2 4 -6 a i 12 14 a Pressure (mTorr) Figure 6.3b Plasma Potential and Average Electron Energy vs. Argon Discharge Pressure 119 0.15s CL1C1- F(E) 0.05 0.00 0, “ 0 12.99; lo I.) K 47 e E, c? $c7-‘h 0 e 0 c3 é?’ C? q?‘35746b he ' 3 Figure 6.4a Oxygen EEDFs Measured in the MPDR Processing Chamber vs. Discharge Pressure 120 35- A '5' 3 .=. V30: 3 L255 (D :: C 5': LL] 5 . .ZC): 05 5 ‘VS > § 0 O <15€ U 5105 '5' Ave. Energy 9 2- 53 a v 5.: In > a O O IrlIIIUIIIIIIYIIIIIIUIIIIIIIUIIIIIIIIIIéUFIIIIUII 2 4 6 - 10 Pressure (mTorr) Figure 6.4b Plasma Potential and Average Electron Energy vs. Oxygen Discharge Pressure 121 6.3.3 Spatial Variation of the EEDF Figure 6.5a shows a family of EEDFs as a function of distance downstream from the reactor. The EEDFs were measured along the central axis of the processing chamber in a discharge using 20 sccm argon at 0.9 mTorr with 260 watts of microwave power. No high energy electrons are detected at any distance below the discharge. Note, however, that due to strong magnetic fields, it was not possible to measure EEDFs directly in the ECR zones where high energy electrons are likely to be generated. Average electron energies decrease as a function of downstream distance as shown in Figure 6.5b. Since there is essentially no microwave radiation to excite the plasma in the downstream region, the average electron energy slowly decays away from the reactor due to electron collision processes. Since these EEDFs deviate from Maxwellian, it is interesting to compare the results of double Langmuir probe electron temperature measurements from Chapter 5 with the computed average energy from the actual EEDF. Table 6.1 lists the measured electron temperature expressed as nge and the average 2 electron energy, e, computed from Eqn. (6.3) and the measured distribution function. The two measurements agree within 15‘/., with nge being consistently larger. Since the double Langmuir probe technique extrapolates an entire Maxwellian distribution based on the distribution of moderate-to-high energy electrons, the discrepancy may be due to the non-Maxwellian EEDFs of these plasmas. Perhaps most interesting is the plot of plasma potential vs. downstream distance. The potential is observed to decrease linearly with distance indicating the existence of a steady-state space-charge 122 0.15 0.10 F(E) e 0.05 0.1 0.00 e ‘5 a ... 3&5: 10 3266' {in’thgp‘ ‘52? “7 ‘$S§;§F' 90 4. 30 3‘" ”9’50 40% A y ‘91, .J Figure 6.5a Argon EEDFs Measured in the MPDR Processing Chamber vs. Downstream Position, d 123 40_ 20 1'. 23> A: :6 > '5 Z- \—’30: :15 ...: Vs :E.‘ .9: t a) 55- :9. 3: E 3 020: :10: 0.: .. .. 2 m 5 (E) :3 c3 - E3‘-4:L-E3-1:r—‘E3-—1E}__E3__1:r‘.cj .. ' (D E: :6 ‘L= E 1.? : as O-IIII IITI IIII IIII IIII IIIT: -2 (5 i 2i ' 8 100 Distance Below Discharge, d (cm) Figure 6.5b Argon Plasma Potential and Average Electron Energy vs. Downstream Position, d 124 electric field of 132 Volts/m which will accelerate ions into the processing region (see also Chapter 7). EEDFs in oxygen show a similar relaxation-like 'behavior downstream from the discharge (see Figure 6.6a). At 0.9 mTorr, 10 sccm 0 260 watts, the average energy 2’ decreases from 11.7 eV at the reactor to 8.6 eV at d = 8 cm (see Figure 6.6b). Simultaneously the plasma potential decreases from 33. 5v to 18.8v over the same region giving rise to an average electric field of approximately 184 volts/m. Table 6.1 Comparison of Electron Temperature from Double Langmuir Probes and Average Electron Energy as Determined from the EEDF. d 9 kT <£> A% 2 e e 2 cm 8.8 eV 7.65 eV 15% 3 8.4 7.35 14 4 7.8 7.31 7 s 7.4 7.06 5 6 7.5 6.80 10 7 6.9 6.42 7 8 7.2 6.60 9 Measurements of the radial variation of the average electron energy in a 20 sccm argon discharge (0.9 mTorr, 260 watts) are shown in Figure 6.7. All measurements were made at d = 2 cm. The shape of the distribution function is fairly constant across the entire aperture of the plasma source with a decay in average energy near the edges of the discharge region. Finally the plasma potential was measured throughout the processing chamber. Discharge conditions were 20 sccm argon, 0.9 mTorr ' 125 0.1 PCB) 0.0 0. 67$ 10 Q 921,. 5’0 c 0,, Q! 0’0.‘ ‘67z57a ‘50? ‘0 *s'ksfr 9.» ‘70 io <9.” ('61,) 30% ©5559 Figure 6.6a Oxygen EEDFs Measured in the MPDR Processing Chamber vs. Downstream Position, d 126 .35 A =5 6 \‘ 3 L25 0) § 15 20 = VS . a (D > <11 15 ‘5: ' H A > v 5 (O > .4 6 10 Distance Below Discharge, d (cm) o6III1IIIII§ITIIIIIIIIIIIIIIIIIIIIIIIIIIIéIIIIIII Figure 6.6b Oxygen Plasma Potential and Average Electron Energy vs. Downstream Position, d 127 9° 0 >1 o 9’ o lllllllllLLlLllLlllllIIIIULLULLLJJII S” 0 measured at d-2 cm Ave. Electron Energy (eV) :“ o jIIIIII'IFTTIIIrIITIIIIITIIIII ..g . -4 0 4 8 Distance from Center (cm) Figure 6.7 Radial Variation of the Average Electron Energy of an Argon Discharge in the Processing Chamber 128 chamber pressure, and 260 watts microwave input power. A map of the potential within the processing chamber is important since the potential variations within the plasma are a result of space charge induced electric fields and these electric fields determine ion motion. Figure 6.8 shows the plasma potential as a function of distance from the center of the chamber at distances, d, of 1, 2, 4, and 6 cm below the discharge. The plasma potential is observed to decrease away from the center of the processing chamber and to decrease downstream from the discharge region. This will result in the static electric field lines which point downward and also diverge from the center of the chamber. Ion trajectories will follow these E-field lines, and a somewhat divergent ion flux should [be expected (as verified in Chapter 7). 6.3.4 The EEDF vs. Power Measurement of the EDF as a function of microwave power at a constant flow (20 sccm) and pressure (0.9 mTorr) of argon shows almost no dependence on power (Figure 6.9). In the range of 120 to 250 watts all EEDFs appear nearly identical to that shown in Figure 6.2. The average energy was observed to increase only 0.3 eV from 5.7 eV at 120 watts to 6.0 eV at 250 watts. This weak dependence of electron temperature on input power has been predicted in an analysis by Dahimene 1’]. Essentially the electron temperature is dictated by balancing the loss of charged particles by recombination at vessel walls. against the .generation. of electrons and ions in the plasma (ionization frequency). Since the loss mechanisms are primarily 129 45 4s 0 (d (n (A C) lllllllulllllllllLllllJlllLlllLlJllll Plasma Potential (Volts) N 0' IIIIITIllIIIIIIIIIIIjIIIIIIIrIT -8 -4 0 4 Distance from Center (cm) Figure 6.8 The Spatial Variation of the Argon Plasma Potential in the Processing Chamber 130 0J5 0J0 F(E) 005: Figure 6.9 Argon Plasma EEDFs vs. Microwave Input Power 131 dependent on ‘vessel surface geometry and charge particle mobility (i.e., gas type and pressure), the input power has only second order effects an electron temperature. This is confirmed by these EEDF measurements. 6.3.5 EEDFs in Etching Gas Mixtures Anisotropic etching of silicon with low ion energy bombardment is an attractive integrated circuit (IC) fabrication technique (Chapters 2 and 9). Microwave discharges of less than 1 mTorr CF4 with 0-30% 02 have been demonstrated to etch silicon with little or no lateral etching with ion energies in the 20-60 eV range [25].[38].[64]. It has been found more recently that dilute mixtures of SF6 in Ar yield higher etch rates than CF4 based reactants under much the same reactor conditions [32]. It has been observed that the etch rate and degree of anisotropy depend on the concentration of SF6 in Ar. Higher ratios of SF6 lead to faster etch rates but, unfortunately, at the expense of somewhat more isotropic profiles. Figure 6.10a shows the evolution of O the EEDF as a function of the fraction of SF6 flow in a 1 mTorr Ar + SF6 discharge at 260 watts with a constant total flow of 20 sccm. The pure Ar discharge exhibits an EEDF which lies midway between a Maxwellian and a Druyvesteyn distribution as shown in Figure 6.2. The second EEDF in the family of curves of Figure 6.10a was taken with a trace of SF6 added to the discharge. The SF6 flow here was below the resolution of the flow meters used (<0.25 sccm) but shows the beginning of the formation of a second electron population at 215 eV in the EEDF. This group of electrons becomes considerably more pronounced at high 132 (3.1!! F(E) 0.05 Figure 6.10a Processing Chamber EEDFs as a Function of SF6 Flow Fraction in SF6 + Ar Discharges 133 SF6 flow fractions. The valley of this distribution lies at approximately 12 eV suggesting that this phenomena is a result of a strong resonance in the total electron scattering cross section of SF6 at 12 eV [92]. SF6 is known to be a highly electronegative gas. The plasma potential decreases significantly as SF6 is added to the discharge (see Figure 6.10b). The decreased plasma potential is likely due to an increase in the negative ion concentration as the SF6 fraction is increased. In addition, since electrons are lost in the formation of negative ions, it is necessary for the average electron energy to increase with the concentration of SF6 in order to sustain the discharge. As shown in Figure 6.10b, e increases from 7.9 eV with pure Ar to 10.8eV with 10’/. SP6 + 90’/. Ar (2 seem SF + 18 sccm Ar). 6 Normally one would expect the plasma potential to increase as the electron energy increases, the opposite behavior is observed here, however, due to the formation of negative ions. The ionization frequencies of Ar and SF were numerically computed 6 from total ionization cross sections found in the literature [84] as a) v1 = N = N I015) f(E) / 35 dB . (6.4) 111 E1 e where N is the neutral density, 6(E) is the total ionization cross section, f(E) is the measured EEDF, and E1 is the threshold ionization energy. Table 6.2 lists the ionization frequency for each subgas in the SF6/Ar plasma as a function of SF6 flow. Note that the ionization 134 30 5 15 I! : 3;? A I I 3 a 5 - . :2 5 C a; 4" 5 " - Vs - r: C "‘ r - '- 0 I: ‘ a L LU 44 i- O . C 0- ~ 8 : 4a E10 ~5 8 m - 1.1—J 2 Z . O. - a) - >' P < 0 :0 o 10 12 4 , . ; Percent SF6 in Ar Figure 6.10b SF + Ar Plasma Potential and Average Electron Energy 6 vs. SF6 Flow Fraction 135 frequency increases dramatically in both gases as electron—depleting SF6 is added to the plasma again indicating the need for an increased production of electrons to sustain the discharge. Table 6.2 The Ionization Frequencies of Argon and SF6 Numerically Computed from the Experimental EEDF. Ar flow SF6 flow Pressure vi (Ar) v1 (SF6) 20 sccm 0.0 sccm .87 mTorr 19360 s"1 -- 19.5 0.5 .90 23740 240 s'1 19 1.0 .915 44900 1300 18.5 1.5 .93 37120 1530 18 2.0 .944 52920 3650 6.4 Concluding Remarks The distribution. of electron energies has been. determined in argon, oxygen, and sulfur hexafluoride plasmas generated by the MPDR under a range of operating conditions. No evidence of high energy electrons from the ECR zones of the discharge has been found in the processing chamber indicating that plasma processing in the MPDR should be relatively free from energetic electron-induced damage. In addition, comparison of electron temperatures measured by double Langmuir probes with actual average energies found that the assumption of a Maxwellian EEDF produces a 15% error in the Langmuir technique. As predicted by theory, microwave input power has little effect on the 136 EEDF or average electron energy. Highly non-Maxwellian electron distributions have been observed in SF discharges. The unusual 6 character of these EEDFs is attributed to a strong electron scattering resonance [92] for the SF6 molecule which distributes electrons into groups above and below 12 eV. Ionization rates are also observed to increase as the concentration of SF in argon is increased. The 6 elevated ionization rates are believed to be the result of electron depletion by attachment to electronegative SF molecules and SFx 6 molecular fragments. Chapter 7 The Distribution of Ion Energies 7.1 Introduction Chapter 6 described the energetics of the MPDR plasma’s electron gas. We now turn to an investigation of the energy distribution functions of the ion gas. Since the prime motivation of this research is the development of a plasma processing source, the study of ions will focus on the ion energy impinging on a simulated processing surface. Depending on their energy range, ions may serve several purposes in plasma surface processing. At the high-energy end of the spectrum ( >100 eV) ions will physically sputter the surface and remove material (etch). In addition to this etching action the high energy ionic species may also become implanted in the sputtered substrate. Both of these result in considerable damage to the surface and near-surface regions of the substrate. At the lower end of the ion energy spectrum, the ion energy is typically below the sputter threshold of the material (0-30 eV). In this case little or no physical etching of the surface occurs. These ions can still act to catalyze surface reactions by fragmenting adsorbed reactive species or creating local reaction sites in the substrate by inducing minor damage (e.g., bond breaking). These low energy ion effects are classified as chemical processes in contrast to the physical, high energy ion processes. Herein we investigate the 137 138 ion energies produced' by the MPDR in order to assist in the identification of ion induced etching reactions. Charged particles impinging on a wafer in the multipolar ECR discharge may gain energy significantly greater than the thermal energy from 1. the plasma sheath potential which is equal to the plasma potential minus the potential of the wafer, 2. spatial variations in the plasma potential throughout the discharge as a result of the diffusion of charged species, and 3. strong gradients in the static multipolar ECR magnetic field, i.e., VB associated drifts [47],[48]. Items 2. and 3. above are coupled phenomena since certain VB induced drifts produce space charge electric fields. With the assistance of plasma potential data from Chapter 6, the various origins of ion energy can be identified. This chapter begins by describing the design of the gridded ion energy analyzer and the method of experimental measurement. Ion energy spectra are reported as a function of substrate bias voltage, substrate position, gas composition, and microwave power. The chapter concludes with a discussion of the relationships between plasma potential and ion energies. 139 7.2 Design of the Gridded Ion Energy Analyzer Design and construction of an ion energy analyzer which could determine the spectrum of ion energies impinging on a silicon substrate under ECR plasma etching conditions was the first goal (see Figure 7.1). Since the silicon substrate is usually moderately doped, it is a fair electrical conductor. The gridded analyzer, however, while acting as an electrical conductor to simulate the silicon substrate, should be resistant to etching; Consequently, the analyzer was constructed primarily from stainless steel. 7.2.1 Sampling Aperture Design A conducting stainless steel membrane (50 um thick) was chosen to simulate the conducting surface of the silicon wafer. In the center of this membrane a small aperture was drilled using an electron beam drill. This aperture allows ions which would normally strike the surface to pass through into the analysis section (see Figure 7.1). The aperture should be small enough so that it does not affect the plasma sheath which forms on the outer surface of the analyzer but large enough so that a usable ion current can be sampled through it. The first criteria requires that the aperture have a radius which is on the order of a Debye length or less. Assuming an electron and ion density of 1011 cm.3 and an electron temperature of 70,000 K the Debye length [Eqn. (5.10)] is 58 um. 140 Figure 7.1 The Gridded Ion Energy Analyzer and Associated Experimental Circuit 141 The minimum hole size attainable with the electron beam drill was 50 mm in radius. Fortuitously this is on the order of one Debye length and still allows tens of nanoamperes of ion current to pass through (at 1011 ions per cm3). 7.2.2 Grid Design A two-grid analyzer similar to that used by Matsuoka and Ono [93] was chosen as the basis for this design. Each grid was constructed from 100 lines/inch nickel mesh which was spot-welded onto a ring-shaped aluminum frame. The grid nearest to the aperture (the electron repulsion grid) was biased at a constant voltage of +40 volts to repel all electrons which pass into the analyzer. A potential of 40 volts was chosen based on the electron energy distribution data in Chapter’ 6 ‘which indicates that there are very few’ electrons with energies greater than 40 eV. The lower grid (the ion retardation grid) was then biased negatively by a variable DC voltage supply such that ions passing through this grid would be separated by energy. Those ions with sufficient energy to surmount the potential of the second grid are then collected by the ion collection plate situated in the bottom 10f the analyzer; By' observing the change in ion current collected by the ion collection plate as a function ion retardation potential, the ion energy spectrum can be determined. 142 7.2.3 Theoretical Analysis of Operation It is now necessary to obtain an expression for the ion energy distribution function (IEDF) for the gridded analyzer described above. The current of the ion collection plate, IC. may be written as Ic = (7.1) where q1 is the ion charge, v is the instantaneous ion velocity at the i collection plate, and n1 is the ion density. The angled brackets indicate an integration over all velocities and a sum over all charge states. If we now assume that only singly charged species are present in the discharge (an assumption which is supported by emission spectroscopy, Chapter 8) the collected current is a) IC = e I v N(E) dE (7.2) Eir where E1r = qVir, and V1r is the potential of the ion retardation grid. The IEDF is given by N(E), and it is assumed that N(E) is normalized such that 00 I N(E) dE = n 0 1 (7.3) 143 The velocity of the ions should now be expressed in terms of the ion energy' outside the) analyzer, E, and the energy' gained. within the analyzer, qVC. Here VC is the potential of the ion collection plate. v = / 2(E-qVC] (7.4) m 1 Substituting Eqn. (7.4) into Eqn.(7.3) and differentiating with respect to V one obtains ir (11C 2 2q(V1r - Vc) 1/2 = —q m - N(qvir) (7.5) dV 1 ir Setting E=qV solving for N(E), and grouping all constants, ir’ (110 -1/2 N(E) a - ——— (V - V ) (7.6) dV ir ic ir Hence, the IEDF can be determined from the derivative of the collected ion current with respect to the ion retardation potential. 7.2.4 Limitations and Sources of Error Perhaps the most important limitation of this instrument is its inability to measure ion velocities parallel with the plane of the analyzer’s grids (the ?-direction). The expression for the IEDF derived above implicitly assumes that the ions are travelling perpendicular to 144 the grids (the g-direction). If a monoenergetic beam of ions were to enter the gridded analyzer with diverging paths, the component of ion velocity parallel to the grids would exhibit itself by a broadening of the ion spectrum as determined by Eqn. (7.6). Hence, the spectrum of ion energies may be somewhat inaccurate due to ?—directed ion velocities. Although the analyzer measures only the 3-directed component of the ion. velocity, estimates of the lateral velocity component can be made from the width of the ion energy spectrum for nearly monoenergetic ion fluxes. A second possible source of error may be induced by the ion-sampling aperture. For plasmas with high ion density or low electron temperature, the Debye length may become somewhat less than the aperture radius. In this case a deformation of the sheath formed over the stainless steel membrane may induce lateral ion velocities to charged particles as they pass through the aperture. This would also result in a broadened spectrum of ion energies as measured by the analyzer. In light of these observations it should be noted that the double gridded ion energy analyzer provides only an upper limit on the width of the ion energy spectrum. 7.3 Experimental Measurement of the IEDF In order to obtain an accurate ion energy distribution, the first derivative in Eqn. (7.6) was measured by superimposing a small 40 Hz sinusoidal voltage and the ion retardation potential as shown in Figure 7.1. The corresponding variation in the collected ion current was sampled differentially by a Princeton Applied Research (PAR 128A) 145 lock-in amplifier. The lock-in amp was configured to detect the magnitude of the fundamental frequency which is proportional to the first derivative in Eqn. (7.6). This can be seen by writing the Taylor expansion of the ion collection current, IC = F(Vir), where F is some unknown, non-linear function. The ion retardation voltage is <2 ir = V1r + 8 sin wt (7.7) where e is a small voltage. Expanding IC about vir’ 2 . ) d F(vir) 1 2 2 dF(V1r 8 sin wt + H.O.T. (7.8) I = F(V ) + -—-———- a sin wt + -——————— — c 1‘“ dV dv2 2 ir ir Note that the trigonometric identity, sinzwt = g - écosZwt, applied to Eqn. (7.8) leaves only one term with the fundamental frequency (0)) which is of the order of 83 or larger: dF(V ) ir s sin wt (7.9) dV1r Examination of Eqn. (7.9) leads one to the conclusion that the magnitude of the fundamental frequency is proportional to dIc/dvir evaluated at the ion retardation potential, vir’ provided 8 is small. The DC component of the ion retardation potential and the output of the lock-in amp were digitally sampled by a personal computer after which N(E) was computed using Eqn. (7.6) and normalized such that 146 00 J‘N(E) dE = 1. (7.10) 0 Finally, Q = J‘s N(E) dE (7.11) 0 was used to numerically compute the average ion energy. 7.4 The IEDF: Results and Discussion Typically when etching conducting substrates in microwave plasmas a small (<80v) DC electrical bias is applied to the wafer to enhance the ion impingement energy. The energy analyzer described earlier was designed to simulate a biased, conducting substrate surface placed in the downstream processing region. 7.4.1 The Effect of Substrate Bias Figure 7.2 shows the variation of the spectrum of ion energies as a function of substrate bias. Plasma conditions during this experiment were 16 sccm argon, 4 sccm SF 1 mTorr chamber pressure, and 260 watts 6! of microwave power. All data were taken with the analyzer positioned at d=32 mm and centered in the processing chamber. Clearly the average ion energy to a conducting substrate may be easily controlled with this simple bias scheme. The spread of ion energies at higher 0.12 0.10 0.08 $0.06. 0.04 0.02 r. " . 0.00 Wkfin- a... A .40 60 80 Ian Energy (eV) 147 0v bias (I 20 -20v bias 1 -40v bias Figure 7.2 Control of the Ion Energy Spectrum to a Conducting Substrate Using a DC Bias Voltage 148 biases may be attributed to a perturbation of the plasma potential by the presence of the biased energy analyzer. The total ion energy appears to consist of three components: the plasma potential, the substrate potential, and a lateral velocity component which gives rise to the finite width of the spectrum. 7.4.2 Spatial Dependence of IEDF In Figure 7.3 the ion energy spectrum to a grounded substrate for two distances (d) below the discharge is shown. Discharge conditions were 20 sccm argon, 0.9 mTorr chamber pressure, 260 watts of microwave power. The full width at half height. (FWHH) of the distribution at 3 cm is 8 eV which corresponds to approximately 4 eV average lateral ion energy. This agrees well with observed etching profiles (see Chapter 9). Gradients in the plasma potential are observed in the lateral direction due to ion density decay (see section 6.3.3). These changes in potential induce lateral ion velocities and contribute to the FWHH of the spectrum. Measurements of the ion energy spectrum further downstream at d=7 cm show that the average ion energy is somewhat lower corresponding to a lower plasma sheath potential. The FWHH is 12.5 eV indicating the presence of (approx.) 6 eV ions. Again the ions are believed to be accelerated by radial and vertical changes in the plasma potential between the reactor and the downstream plasma. The spectrum becomes broadened downstream where the ions have been accelerated by larger radial gradients in the plasma potential before reaching the energy analyzer (see Figure 6.8). Other investigators 0.12 0.10 149 7 cm downstream FWHH - 12.5 eV I 10 2 Figure 7.3 3 cm downstream FWHH - 8.2 eV 0. 30 40 lon Energy (eV) IEDF Broadening Downstream from the MPDR 150 have found the FWHI-Is of divergent field ECR systems (see Chapter 2) range from 10-16 eV [93]. The MPDR system has a comparable, although slightly more monoenergetic, ion energy spectrum. 7.4.3 Power Dependence of the IEDF A family of curves representing the IEDF as a function of microwave input power as measured in. a .20 sccm, 0.9 mTorr argon discharge is shown in Figure 7.4. The gridded analyzer was positioned in the center of the processing chamber at d=7 cm and electrically grounded. The shape of the IEDFs remain fairly constant as power is increased but the average ion energy is observed to increase as listed in Table 7.1. This increase is due, at least in part, to the increase in plasma potential observed as microwave power is increased (see section 6.3.4). Table 7.1 Average Ion Energy and FWHH of the IEDF vs. Power Power (watts) (eV) FWHH (eV) 120 19.7 10 160 24.3 12 190 31.0 11 210 32.5 10 255 36.1 12 N(E) 151 0.10 Power: 120 W, 160 W. 190 W, 210 W. 260 W 0.08 ,” 0.06 1‘ 0.04 0.02 . M: . 0.00 alum—I... .x‘ T...»- 4"!&L~.A1‘:;? 10 2050 Ion Energy (eV) Figure 7.4 The IEDF vs. Microwave Input Power 152 7.4.4 IEDFs in Etching Gases Turning to a specific investigation of silicon etching, the ion energies in plasmas consisting of dilute mixtures of SF6 in argon are presented in Figure 7.5. Microwave power of 260 watts was used in this experiment and the total flow of argon and SF6 was held constant at 20 sccm. From Figure 7.5 one observes that dilute SF /Ar plasmas exhibit 6 a considerably less energetic ion flux than pure argon discharges. This is due to the introduction of electronegative species which readily form negative ions (e.g., SFg). These negative ions are then responsible for the observed reduction of the plasma potential. The information in Figure 7.5 allows one to determine the spectrum of ion energies to a biased silicon substrate under etching conditions as well as the lateral components of ion velocities which, under the proper circumstances, cause non-vertical etched wall profiles (see Chapter 9). 7.5 Concluding Remarks The ion energy spectrum of the MPDR plasma source has been determined in the downstream processing chamber region. The primary source of energy for ions impinging on a grounded conducting substrate appears to be the electrostatic sheath formed between the plasma bulk and substrate. The energy distribution of stationary ions through such a sheath should be monoenergetic. The observed broadening of the IEDF implies that the ions possess thermal energy and/or drift velocities on the order of several electron-volts in the MPDR plasma. Measurement of 153 018. (1083 N(E) 0004‘ ‘ 6... Q 0 0 10 e ‘ 3 c3“ 'lthq. <7 \‘ ‘iu 9y 0 U i rs. 10 so 6060 a.“ Figure 7.5 The IEDF vs. SF6 Flow Fraction in Argon 154 the temperature of surfaces exposed to the discharge indicates that the neutral and ion gas energy is less than 5x10"2 eV (3200 C [94]). The 4-6 eV random or radial energies detected by the gridded analyzer may then be attributed to ion-collision phenomena and to drift velocities induced by space charge electric fields. These electric fields have two probable sources: variations in charge density as a result of diffusion away from the central source region and VB related charge-separation originating in the rapidly' decaying ECR-strength magnetic field regions [48]. Although the plasma potential which has been measured in the processing chamber (Figure 6.8) supports this conclusion, an investigation of the plasma potential in the discharge chamber is still needed to fully understand the origins of ion energy. The IEDFs dependence on plasma potential is clearly exhibited in measurements of SF6/Ar discharges. The addition of SF6 to argon decreases the plasma potential and average ion energy from approximately 40 eV to 20 eV. This should prove to be beneficial in reducing the contaminates in the plasma which originate from ion sputtering of the discharge chamber wall and baseplate. Elimination of sputtered contaminates is a critical factor in the development of plasma processes for fabrication semiconductor devices since iron and other unintentional impurities occuring in minute quantities may severly degrade device performance [95].[96]. Chapter 8 Emission Spectroscopy and Actinometry 8.1 Introduction Spectroscopic measurements of the number and wavelength of photons emitted from a gaseous plasma may be used to identify species within the discharge as well as to determine their relative concentrations. The light emitted from the plasma originates from energetic, inelastic collisions of electrons with neutral atoms, molecules and ions. Electrons with energies above some excitation threshold collide with heavy particles in the plasma and transfer some of their energy to the heavy particle by exciting an electron of the heavy particle into a higher energy orbit. When this excited electron relaxes back to a lower energy state, a photon is emitted carrying the excess energy. The wavelength of this photon is unique to a specific transition of a particular atom, ion, or molecule. The species responsible for emitted photons of a specified wavelength can then be identified within the discharge by using reference tables. Using a technique known as actinometry, the relative variation of plasma species may be determined by comparing the intensity of one of the species’ spectral lines against an intentionally introduced, constant background species’ spectral line intensity. 155 156 In this chapter the discharge chamber, rather than the processing chamber, will be experimentally characterized using emission spectroscopy. Identification of the plasma constituents in argon and SF6 + Ar discharges will be made from the plasma spectrum between 350 nm and 750 nm. The variation in the relative fluorine radical concentration as a function of SF flow fraction in an Ar plasma is 6 documented. Finally actinometry is used to determine the relative spatial variations of both fluorine radicals and argon ions in the discharge chamber. 8.2 Experimental Apparatus The basic plasma system described in Chapter 3 is used in this study. In addition the following optical equipment is employed. 8.2.1 Monochromator The experimental set-up is shown in Figure 8.1 and includes a 1 meter, f/9, Spex, Inc. monochromator (not shown). The monochromator was configured for measurement in the visible range with a 1200-lines/mm diffraction grating blazed for peak response at A = 500 nm. The entrance and exit slits of the monochromator were generally adjusted to 100m which is a compromise between spectral resolution (narrow slits) and signal-to-noise ratio (wide slits). At slit-widths of 100 pm the approximate spectral resolution was 0.1 nm (full width at half height, FWHH). Photons passing through the monochromator were detected by an EMI, Inc. photomultiplier tube (PMT). The PMT was 157 ToSpectrometer j / 4h l / / / / / / / f / I / i/ / l / / I / _ / ’ _ I / II / : '! 1 ’ males-t / II ’. / , .1. .'.o.23.:Etto..°.~:.°.o..11.11.11.199. ..... '-°.°-".°-‘o°.-'-:-.'-°-.'-°--.°-:-.'-'-.°-°.-.'-°.-.'-::‘2°.’-.'-':-.'-.'o' "'3’. '-'.41-1“.-’-'.°'-’.°'-’-'.°o’.°.°".'. e e. .'e.°e ‘ e . e 'e. .e'.‘.e°.'.o'.°.e..’. . .‘e 589C9§533R9K§9§5355 : \\_\ \‘2I.\\.\\ \ \2‘.\‘\\=\ \ .\‘~.\5\.\V§ \\.\ \ Figure 8.1 Fiber Optic Measurement Technique of the MPDR Discharge Chamber 158 operated at the manufacturer’s specified voltage of -890 volts. An integral cooling unit maintained a constant PMT temperature of -20C during measurements. PMT current was measured by a Keithley digital picoammeter. 8.2.2 Computer Control and Data Acquisition A personal computer equipped with a Metrabyte DAS-8 data acquisition board was used to control the spectrometer and read the emission spectrmm. A digital (0-5 volt) output from the DAS-8 board drove the stepper-motor controller of the monochromator; each high-to-low cycle advanced the diffraction grating an angle corresponding to 0.002 nm in wavelength. Software simultaneously read a voltage from the chart-recorder output of the Keithley picoammeter after each step and created a file of the plasma spectrum (photon emission vs. wavelength). 8.2.3 Spectral Intensity The actual intensity of a spectral line was attained by integrating the spectral line-shape sampled from the picoammeter, i , pa with respect to the wavelength over wavelengths where ipato, Amax Intensity = I i dA (8.1) pa Amin 159 where A and A are the highest and lowest local values of max min wavelength about a spectral line where ipa is greater than zero. The integration was performed numerically using the Simpson method [97]. 8.2.4 Fiber Optic Bundle A custom-made bundle of optical fibers was used to transmit light from the MPDR discharge to the entrance slit of the monochromator. The 1 mm diameter bundle of fibers was constructed entirely from materials with a low microwave loss tangent so that the bundle could be positioned inside the cavity of the MPDR during operation. As shown in Fig. 8.1, the fiber could be placed) adjacent to the top of the discharge chamber through small holes drilled in the sliding short. Holes were spaced 1 cm apart in a cross-like pattern. The spectral range of the bundle extended from 190 nm in the UV to the far infrared. In this system spectral response was limited to 350 nm to 1000 nm by the spectrometer and the PMT. No special lenses were used at the entrance to the fiber optic bundle to help collimate the sampled light. The bundle accepts light from a cone-shaped volume with a half-angle of 15°. The upper surface of the quartz discharge chamber is 5 mm thick. Thus the spatial resolution of light acceptance at the plasma boundary was approximately 2.5 mm and 2 cm inside the discharge the spatial resolution was 1 cm. 160 8.3 Identification of Species Sample spectra of discharges under typical plasma processing condi t ions are presented below. Note that the ampl i tudes of the spectra. have .not been. corrected. for the spectral response of the optical fiber, monochromator, and PMT. 8.3.1 The Argon Spectrum A segment of the emission spectrum for a 20 sccm argon plasma is shown in Figure 8.2. The discharge pressure in the processing chamber was 0.9 mTorr and the absorbed microwave power was 260 watts. The data shown was obtained with the fiber optic bundle positioned in the center of the discharge chamber. Identification of the species responsible for the lines labeled in Figure 8.2 was made with the assistance of the CRC Handbook of Physics and Chemistry [98]. The spectrum is dominated by emission lines from neutral argon and singly ionized argon. Although many unidentified lines are apparent in the spectrum, a careful examination shows that none of these signals originates from doubly ionized argon (Ar++). Based on this observation it is reasonable to assume that few if any higher ionization states are produced by the MPDR under these discharge conditions. The absence of doubly ionized species in the MPDR discharge under plasma processing conditions is significant since the higher charge-state (2) ions will gain Z-times the energy as they drift through the electrostatic field of the plasma sheath and gradients in 161 Ari-3540 AH- 3500,3501 AH- 3492 3 JAN WWWWWW 3400 3500 3600 3700 3800 3900 4000 Wavelength (A) 22222 Figure 8.2 UV Emission Spectrum of a 0.9 mTorr Argon Discharge 162 the plasma potential (Chapter 6). These high energy ions may be damaging to the processed substrate or they may sputter contaminants from the vessel wall into the plasma. The spectrally observed absence of high charge states is also supported by the ion energy spectra reported in Chapter 7 where no secondary peaks of high energy ions (i.e. high charge state ions) were observed in the range of 0-100 eV. 8.3.2 The Spectrum of SF /Ar Etching Plasmas 6 6/Ar plasmas for SF6 concentrations of 5 to 35% are displayed in Figure 8.3. The data were taken for a 20 sccm total The spectra of SF working gas flow and 260 watts of absorbed power. Here the optical fiber was placed through an E-field sampling port (see Chapter 4), 4.4 cm above the baseplate. Due to the relatively large distance from the end of the fiber to the plasma, these measurements represent an average over the plasma volume rather than the spectra at a specific location in the discharge. The spectra are dominated by argon and fluorine radical emissions. It is interesting to observe the 704 nm fluorine radical line as a function of SF flow fraction. In Figure 8.3 one can clearly see that 6 it increases substantially in intensity as the concentration of SF6 is increased. Figure 8.4 plots the intensity of this line as a function of SF6 flow fraction to demonstrate that there is, in fact, a linear relationship. This hints that the 704 nm line is a good indicator of radical fluorine concentration in the discharge. 163 52 SP6 257. Intensity ! I in O 5: 704nm 600 630 660 690 720 750 Wavelength (an) Figure 8.3 Variation of the Emission Spectrum as a Function of SF6 Flow Fraction in Argon 164 500 400 (A CD C) h) CD CD 11111llllllllllllllllllllllJJllllllllllllllllljjl on. C3 C3 C3 IIIIIIjII[IiIIIIIIIthIIIIjIIIIIITIIIII o 10 20 . 30 40 Percent SF6 in Ar 704—nm F—lntensity (orb. units) Figure 8.4 Emission Intensity (704-nm) of Fluorine Radicals in a SF6 + Ar Plasma 165 8.4 Actinometry The data in Figure 8.4, while giving the trend of fluorine concentrations, [F], in the discharge, actually may not represent the true relative variation of [F] since the electron temperature and, hence, the excitation frequency also changes as the SF6 flow fraction is increased (see Chapter 6). To obtain a more accurate idea of the concentrations of species within the plasma we will use a technique known as actinometry [99].[100] to eliminate the spectral line intensity’s dependence an electron temperature. 8.4.1 Theory of Actinometry Development of the theory of actinometry begins by expressing the intensity of an emission line in terms of its excitation collision cross section, aéx, and the electron energy' distribution function (EEDF), f(E). The intensity of a line is proportional to the number of photons emitted per second at the wavelength of interest. If we assume onLy a single de-excitation path exists for an excited electron, the photon emission rate should be equal to the excitation frequency, vex’ of the energy level being observed. Hence the intensity, Ix’ may be written as I a v = N = N I v a f(E) dE (8.2) x ex x ex x ex ex ll 166 where v is the electron velocity, Nx is the density of the species of interest, and Eex is the excitation threshold energy. The method of actinometry involves adding a constant quantity of a reference gas to the discharge. This reference gas is known as the actinometer. The actinometer will also be excited by electron impact and produce spectral emission lines. The intensity of these lines is given by I a v = N = N I v a f(E) dE (8.3) a a ea a E ea ea where Ia is the intensity of a particular emission line from the actinometer, vea is the excitation frequency of that line, Na is the density of actinometer species (which is constant), and Eea is the excitation threshold energy of the actinometer emission line. Note that the same EEDF is appears in both equations (8.2) and (8.3) at a given point in the plasma. Finally (8.2) is divided by (8.3). Assuming that the excitation threshold energies are nearly equal (E ”E ) and the excitation cross sections are similar (a we ) for ex ex ea ea both emission lines, the ratio of the intensities of the species of interest and the actinometer is proportional to the relative concentration of the species of interest, Nx' N I f(E) a v dE x ex Ix ex Nx = ~ “ N I ° N x a N I f(E) e v dE a a ea ea 167 To ensure that Na remains as constant as possible it is best to use a noble gas as the actinometer since there will be no dissociation. In summary, the ratio of the emission intensities of a species of unknown concentration to a constant, noble gas actinometer will be proportional to the relative concentration of the former species provided that both emission lines are selected such that they possess similar excitation threshold energies and excitation cross sections. 8.4.2 Possible Sources of Error in Actinometry The method of actinometry must be applied cautiously -- there exist many possibilities for error. First, one must be careful that the actinometer is not being depleted by ionization. If experimental conditions change in such a way that the degree of ionization in the discharge- increases or (decreases significantly' or measurements are taken in regions of high and low ionization, the concentration of neutral actinometer species may not be constant. The last proportionality in Eqn. (8.4) would not hold and actinometric results will be inaccurate. Selection of emission lines with equivalent excitation cross sections and nearly equal excitation thresholds may be difficult or impossible due to the lack of information on specific excitation cross sections for many elements. Usually one must rely on independent confirmation of the validity of actinometry by other measurement techniques such as titration, mass spectroscopy, or Langmuir probes. The requirement for similar cross sections is not, however, as stringent as it may first seem. Reference [99] states, "the influence 168 of the cross section shape is not very significant even for differences as large as for a square and a triangular shaped cross section at least at higher (electron) energies.” Even the requirement for nearly equal threshold energies can be relaxed for high electron energy plasmas. Again from Ref. [99], "When the species have widely differing thresholds, as for CCl (4.6 eV) and N (11.3 eV), the ratio of the 2 excitation rate constants varies by orders of magnitude at low (electron) energies, yet becomes almost constant at higher energies where, again, use can be made of (actinometry)." Previously reported average electron energies are relatively high in the MPDR and range from 6 to 10 eV under typical plasma conditions. 8.5 Actinometry Results and Discussion In this section the results of actinometric studies of the MPDR source are discussed. Of particular interest is the concentration of fluorine in etching discharges since fluorine is known to spontaneously etch silicon (Chapter 2). An alternative measurement of argon ion density is also introduced to be used in place of the double Langmuir probe. This proves to be useful in making spatially resolved Ar+ measurements in the ECR regions of the discharge where strong, rapidly varying magnetic fields make Langmuir probe measurements highly susceptible to error. 169 8.5.1 The Concentration of Fluorine vs. SF6 flow fraction The experiments of section 8.3.2 were repeated using actinometry. In addition to SF6 and argon, either 1 sccm of krypton or 1 sccm of xenon was mixed into the discharge working gas as an actinometer. The 632 nm xenon line and the 557 nm krypton line were determined to be good indicators of the background density of the actinometer. As seen in Figure 8.5, the ratio of the intensity of these two lines remains nearly constant as the SF flow fraction is varied. In the literature 6 [11].[65] and section 8.3.2 the 704-nm fluorine line has been shown to correlate well with the fluorine radical density. To obtain a more accurate picture of the relative concentration fluorine in the SF6/Ar discharge the ratio of the fluorine (704 nm) line to the Kr (557 nm) line is plotted in Figure 8.5. It is interesting to compare the results from Figures 8.4 and 8.5. The 704-nm fluorine line intensity varies at nearly the same rate as the relative fluorine concentration verifying that it is, indeed, a good indicator of fluorine density. Had the actinometric results not agreed as well with Figure 8.4 one would conclude that the 704 nm emission from fluorine was somewhat more sensitive to variations in electron temperature in this operation regime. If this were the case it would be important to use actinometry, rather than line intensities, to determine relative fluorine concentrations. 710 61) >50 .t.’ 9 0) 4.0 D 0) 32:10 .fiJ .9 G) 2.0 0: ‘L0 0x) Figure 170 .‘3‘ o E F/KrRetio : O 5 o a Xmfla'flhUe g o E O 5 o - IIIIIIIIIIIIIIIIITIIIIIIIIIIIITTIIIITIIIIIIIIIIIIIIIIIIIIII 0 5 10 15 20 25 30 Percent SEE in Ar 8.5 Relative Density of Fluorine in a SF + Ar Discharge ' 6 as Determined by Actinometry 171 8.5.2 Spatial Distribution of Fluorine in the Discharge The fiber bundle was scanned across the top of the discharge chamber while a 4 sccm SF 16 sccm argon, 1 sccm Kr discharge was 6’ sustained using 260 watts. The ratio of the 704 nm fluorine line to the 557 nm Kr line is plotted in Figure 8.6 along directions parallel and perpendicular to the axis of the microwave cavity’s input antenna. The concentration of fluorine in the discharge chamber is surprisingly uniform. A slight increase (approx. 10%) in the density of radical fluorine, however, can be observed adjacent to the microwave input antenna. This is likely caused by near-zone electric fields in the vicinity of the antenna which locally enhance the ECR excitation of the plasma. The gas inlet manifold (Figure 3.2, piece 26) enters the baseplate in the perpendicular direction. Since the fluorine radical concentration is uniform near the inlet it can be concluded that either the working gas is being evenly distributed in the discharge chamber by the gas inlet ring (Figure 3.2, pieces 26 and 28) or the fluorine radical concentration is. not strongly dependent on the local supply of working gas. Since the fluorine radicals are not charged, they diffuse very rapidly throughout the discharge region producing uniform densities of radicals throughout the discharge chamber. In a study of Ar+ in the discharge that follows, it is shown that charged particle diffusion is hindered by the ECR magnetic fields thus causing a non-uniform distribution of Ar+. The measured uniformity also suggests that fluorine may not be significantly lost to recombination at the vessel 172 20.0 . 10.0 5 e A I (9“ inlet) Perpendicular to Erobef < : Q —. O -> :8.0 O 15.0 - ° 5 i\ : 3 If) .. I :8 : -'- L 3 (near probe) E6'0 56 .. [j : -— 1o 0 _ ‘_ Parallel to Probe t 2? 5 1:) 3 l\ E D 1:) 5'" ° 8 3 E t 5.0 5: I _u. 1 E20 1 - ECR .Zones E i l L5 000 I I I I I I I I I I I I I I I I I I I 1 I I I I I I I I I '0 I I I I I I i000 -4.0 -2. 0 0. 0 4.0 Radial Position, r (200m) Figure 8.6 Relative Spatial Variation of Fluorine Radical Density in the Discharge Chamber as Determined by Actinometry 173 walls and/or the mean free path of fluorine radicals is much greater than the discharge chamber dimensions since the density is not observed to decrease near the walls. 8.5.3 The Spatial Distribution of Argon Ions Although Langmuir probes have been used to determine the ion density in the processing chamber of the MPDR system, probes are not practical for use in the discharge chamber. Strong microwave fields exist in the upper regions of the discharge chamber which could be scattered by the conductors of the probe. The size of the probe would also perturb the thin ECR volumes where the plasma is primarily excited. Finally the strong, highly non-uniform magnetic fields make classical Langmuir probe measurements of questionable accuracy. To solve these problems a non-invasive optical technique for measuring argon ion density in ECR regions is developed below. The basic principles of actinometry are applied here to argon ions. Since argon is itself a noble gas, argon neutral emission lines may be used as actinometer lines. An appropriate pair of argon neutral and argon ion lines was determined by comparing the ratio of various line intensities with Langmuir probe data. With the optical fiber positioned at the top and center of the discharge vessel and a double Langmuir probe placed directly below it at deO, simultaneous density measurements were made. McKillop, et al. [101] have reported that the 358.8 nm Ar” line (iip‘i‘w2 — 4s‘0f’m) [102] is a good indicator of argon ion density. A conveniently close argon actinometer line was found at 360.7 nm (6pI1/210-4sI3/21‘1’) [102]. The ratio of the 174 intensities of these two lines is plotted in Figure 8.7 as a function of input power in a 20 sccm argon (0.9 mTorr) discharge. For comparison the Langmuir probe results are also provided. The close agreement between the spectroscopic and probe techniques shows that this ratio of lines (358.8 nm/360.7 nm) is appropriate for monitoring relative variations in Ar+ density. It should be noted that this agreement may be largely fortuitous due to the difference of the excited state energies between the 3588A-Ar+ line and 3607A-Ar line which are 22.9 eV and 15.06 eV, respectively [102]. The Langmuir probe measurements reported above were possible since the probe was positioned in the lower, central region of the discharge chamber away from strong microwave and magnetic fields. The real advantage of the spectroscopic technique is in its ability to investigate the ECR regions of the plasma. Figure 8.8 gives the relative argon ion density across the discharge chamber in directions both parallel and perpendicular to the microwave input antenna. The densities are characterized by a relatively low density central region and high density outer regions. These outer regions correspond to the positions in the discharge chamber where ECR heating of the plasma occurs (r 81 3.5 cm). A rough estimate of the density in the ECR regions can be made by noting that the ratio of densities in the ECR zone to the central volume is approximately two. Based on Langmuir probe measurements of 6x1011 cm.3 in the center of the discharge, densities of about'1.2x1012 cm-a exist in the ECR zones. Several observations may be made from Figure 8.8. First, strong ECR magnetic fields appear to inhibit radial diffusion of ions in the discharge region. It is well known that the diffusion coefficient of 175 6E+011 /—\SE+011 4E+011 3E+011 2E+011 Ar+ Density (cm—3 1E+011 lllllllllllljjlljjlllllllllllllllllllllllljlllljlljjlllllll OE+OOO IIIIWIIIIIIIITIIII'IIIIIIITIjIIIIIIIIIIIIIITIIIIIIIIIIIIII 0 so. 100 150 200 250 300 Microwave Power (W) Figure 8.7 Comparison of Ar+ Actinometry (a) with Double Langmuir Probe Measurements (A) Relative Ar+ Density 176 2.0 _ 3 1.5 i 2 (near probe) 1'. O 1-0 '1 O Perpendicular to Probe : o B : '3 O ; El 0 D 2 (I) 025 '1 B CD) UParallel to Probe I - ECR Zones 0.0; IIIIIII]IIIIIIIIIIIIIIITIIIIIIIIIIL -4.0 -2.0 0.0 2.0 4.0 Radial Position, r (cm) Figure 8.8 Spatial Variation of Argon Ion Density in the MPDR Discharge Chamber from Actinometry 177 charged species is reduced in directions perpendicular to magnetic field lines [103]. As shown in Figure 8.6, the spatial distribution of neutrals is quite uniform since their diffusion is unimpeded by B—fields, but electrons and ions tend to be trapped in the region of high magnetic field. This leads to the second observation. Since the ions do not diffuse rapidly from their point of creation, the high density of ions in the ECR regions demonstrates that the plasma is being heated primarily by the ECR phenomenon, not by Joule heating [72]. Finally the ion density results imply that the multipolar magnetic field not only produces ECR zones in the discharge but also reduces electron-ion recombination by limiting the diffusion of particles to the discharge chamber walls. As described in Chapter 5. the dominant loss mechanism for electrons and ions is wall recombination. The magnetic cusps of the multipolar B-field act to limit charge particle access to the recombination sites on the radial chamber walls. 8.6 Concluding Remarks The study of the emission spectrum of the MPDR discharge has shown that no evidence of multiply ionized species exist under normal operating conditions (power < 300 watts, pressure :8 1 mTorr). It is beneficial to have only singly ionized species in a plasma process since multiply charge particles will gain potentially damaging energies as they pass through the plasma sheath and gradients in the plasma potential. The relative concentrations of fluorine radicals both as a function of SF6 flow fraction and discharge chamber position have been 178 determined. This information will be applied to the etching of silicon in Chapter 9. A small increase in the concentration of fluorine was observed near the microwave input antenna and is believed to be due to enhancement of ECR heating by near zone electromagnetic field around the antenna. A non-invasive, spectroscopic technique for measuring argon ion densities in regions of strong magnetic and microwave fields was developed. The ratio of the intensities of the 358.8 argon ion line to the 360.7 argon neutral line was shown to closely follow the argon ion density. Estimates based on this technique give a peak ion density in 12 the ECR regions of the discharge chamber of 1.2x10 our:3 in a 0.9 mTorr, 20 soon argon discharge using only 260 watts of microwave power. Chapter 9 Anisotropic Plasma Etching of Silicon 9.1 Introduction Herein a process for the anisotropic etching of silicon is developed using the MPDR. This work is motivated by a need in the integrated circuit fabrication industry for an etching process which is capable of defining submicron features in damage-sensitive substrate materials. Historically, integrated circuit (IC) geometries were etched in wet processes [37]. These methods involved submersing the IC substrate in solutions of acids. bases, and buffers. Regions which were not protected by masking materials from these wet etchants were chemically removed from the substrate. In the late 1960’s plasma processes were proposed to replace the wet chemistries. In these plasma techniques the reactive ion chemistries produced in solutions were replaced by reactive radicals and ions formed by energetic electron impact in the plasma state. Two advantages were immediately apparent. First the disposal of hazardous liquid waste could be eliminated thus reducing production costs. In addition, surface coverage was not limited by wettability or bubble formation at the substrate surface. In the case of etching, wet methods were limited to approximately 3 pm minimum line width in order to obtain reasonable yields of functional devices. The advent of plasma etching opened the possibility of micron- and 179 180 submicron-size etched geometries. Early work in plasma etching was in the moderate pressure regime (100 ,mTorr' - 1 Torr). Under these conditions processing plasmas are generally weakly ionized and the plasma chemistry is dominated by neutral radicals. As with wet etching, the neutral radicals etch in all directions with equal rates. Hence etching masks were designed larger than the final desired geometry since material would be etched laterally under the mask the same distance as the vertical etched depth. Clearly this severely limited the feature density on the surface of the IC. Ion milling is an alternative process in which substrate material is sputtered by high energy ions. Since the ions can be given directed energy via electric fields, the etching of a substrate by ion milling could proceed in the vertical direction with little or no removal of substrate material beneath the etching mask. This is referred to as anisotropic etching. A.figure of merit is commonly used to describe the etched profile. The degree of anisotropy. A, is defined as A = 1 - u/d (9.1) where u is the lateral mask undercutting distance and d is the vertical etch depth (Figure 9.1). In the case of wet etching u = d, and the degree of anisotropy is zero, i.e., isotropic etching. For ideal ion milling the mask is not undercut, u = 0, and A = 1 (perfect anisotropy). For small device geometry and high device density it is desirable to etch with A as 1. Ion milling, however, has several limitations. Since it is a strictly physical process, etch rates in ion milling are 181 BEFORE mm; Etched Depth. d fl/ 4 I; n-Si .1 LUndercut. u Anisotropy. A = 1 - (u/d) Figure 9.1 Definition of the Degree of Anisotropy 182 proportional to the ion flux striking the etched surface. Practically speaking this makes the process relatively slow when compared to chemical processes. Additionally, high ion energies are required for usable etch rates. These high energy ions, however, induce considerable damage in the surface of the etched substrate. High energy ions also sputter mask material and plasma chamber contaminates onto the wafer surface. The use of reactive ion etching (RIE) [59] improved the etch rate of ion-dominated etching processes by using chemically reactive ions and neutrals from the discharge to enhance the etch rate. The problem of substrate damage and contamination, however, persisted. In the late 1970’s the Japanese reported a low pressure, microwave plasma process which could anisotropically etch silicon with ion energies less than 50 eV [38]. At pressures one-hundred times lower than RIE, ions were believed to traverse the plasma sheath to the substrate without suffering collisions. Hence a low energy, non-divergent ion flux could catalyze etching reactions on the exposed, lateral substrate surfaces only. In this work an novel microwave plasma source (the MPDR) is applied to the task of low damage, anisotropic etching of silicon with improved etch rates and uniformity. An. experimental investigation of the performance of the MPDR plasma processing system will be detailed herein. Optimization of silicon etch rate, anisotropy, and uniformity will be investigated by searching the parameter space of the MPDR and by using the plasma characteristics reported in Chapters 4-8. Various gas mixtures of CF 4 and 02 or SF6 diluted by argon are used to etch at pressures from 0.9 to 6 mTorr, substrate biasing from -10 to -75 volts, microwave powers 183 of 150 to 250 watts, and at several distances below the discharge region. Contamination of the silicon substrate is documented using Auger electron spectroscopy (AE8). Finally, Al-Si Schottky barrier diodes (SBD) were fabricated on etched silicon surfaces to evaluate plasma induced damage. 9.2 Experimental Techniques The methods used to prepare, etch, and evaluate silicon samples are described below. Silicon samples used throughout this work are n-type, <100>, 1.5 fl-cm wafers which are polished on one side except for the Schottky barrier experiments which used p-type silicon. 9.2.1 Etch Sample Preparation Initially the silicon wafers were cleaned in boiling trichloroethylene (TCE), a degrease etch, a demetal etch, then rinsed in methanol, acetone, and deionized water [104]. After a 525°C bakeout to remove surface water, 150-250 nm of aluminum was evaporated on the polished surface of the wafer in a low pressure (10.6 Torr), tungsten filament evaporator. Waycoat HR 200 photoresist was then spun-on to the aluminum. The photoresist (PR) was exposed to UV light through a mask with 10 um minimum-feature size. After development of the photoresist, the aluminum was wet etched and the PR was stripped leaving a patterned aluminum mask on the wafer. This preparation technique was used for all experiments which report etch depth and anisotropy. The aluminum mask was found to be inert to radical 184 fluorine etching and, therefore, provides a high degree of confidence in quantifying mask undercut. In experiments where only etch depth is measured (i.e. uniformity), HR 200 photoresist was applied directly to the silicon surface. patterned, and used as the etching mask. 9.2.2 Etching Procedure After masks have been fabricated on the wafers, the samples are ready' for' plasma etching. Individual substrates are electrically contacted to the processing Chamber’s aluminum substrate holder (see Figure 3.1) using either silver or graphite suspended in solution. This step ensures that any electrical bias applied to the substrate holder is also applied to the wafer. After allowing several minutes for the adhesive to dry, the chamber is evacuated to a base pressure of at least 10.5 Torr (typ. <5x10-6 Torr). The chamber is flushed with etching gas, and the gate valve is temporarily closed to increase the chamber pressure to approximately 50 mTorr such that a plasma may be easily started. After microwave power is applied to the cavity and the discharge ignites, the gate valve is immediately opened and the pressure drops to the desired operating pressure. The high pressure transient during starting should be kept as short as possible since different etching mechanisms dominate at higher pressures. Typical high pressure transient times in this work are 10-15 seconds. When etching with dilute mixtures of SF 6 in argon, it is possible to start the discharge on pure argon and add 185 SF6 once the plasma pressure is lowered to its processing value. This virtually eliminates any effects that the pressure transient has on etching the silicon sample. The bias is subsequently’ applied to the wafer and substrate holder. The cavity is tuned for optimum absorbed power, and gas flow, pressure, and microwave power are adjusted to the desired levels. After the prescribed etching time, the etching is halted by interrupting the microwave power. The chamber is isolated from the pumping system and back-filled with dry N2. The N2 is preferred over air since back-filling with air contaminates the chamber with water vapor which significantly increases pump-down times and may adversely affect etching chemistry. The sample is removed from the chamber and the whole system is evacuated again as soon as possible to minimize contamination. Latex gloves are always used when working inside the chamber to reduce contamination and for operator safety. 9.2.3 Evaluation of Etching The degree of anisotropy and etch rate are determined by examination with a scanning electron microscope (SEM). The etched samples are scored with a diamond tipped pen and cleaved along a crystalline plane. The wafer is then mounted perpendicularly on a thin copper plate using silver epoxy with the newly exposed edge facing up. In general the conductivity of the doped wafer with aluminum mask, silver epoxy, and copper mount provide a sufficient current path to 186 avoid charging problems in the SEM. In some instances, however, it was necessary to sputter coat the whole sample with a very thin layer of gold. SEM photographs of the edges of the wafer were used to measure the etch depth and the lateral undercutting of the mask. The etch rate was then computed by Simply dividing the etch depth by the etch process time. Anisotropy was calculated by Eqn. (9.1). A second method used for measuring etched depth only involves using an optical interferometer. After the etched sample has been removed from the chamber, the etching mask is stripped leaving patterned bare silicon. Using a Varian 989-4020 sodium vapor interferometer, the interference fringes between the etched silicon surface and the unetched (masked) surface can be counted [105]. The etched depth, d, is calculated from d = nA/Z (9.2) where n is the number of fringes shifted from the etched to unetched surface, and A is the wavelength of the sodium vapor lamp (589 nm). Typically the etched profile is too steep to be able to track the interference fringes. Short etching times are used such that the etch depth is approximately 300 nm and only a one-fringe shift occurs. A DEKTAK II (stylus) profile measurement was later made on samples measured with this method to verify the etch depth. Etch rates across a large sample (too big for an SEM) can be easily and inexpensively determined by this technique. 187 9.2.4 Schottky Barrier Fabrication and Evaluation Schottky barrier diodes were fabricated on etched silicon surfaces for a comparative study of damage induce by' MPDR plasma etching. Samples (p-type, (100), 1 D-cm) were prepared by cleaning in TCE, demetal etch, degrease etch, methanol, acetone, In; The wafers were baked-out at 200 C to evaporate residual water. The unpolished backs of the wafers were metallized by the evaporation of approximately 200 nm of aluminum. The aluminum on the back of the samples is intended to serve as the common anode to the Al-Si Junction on the front of the wafer and, therefore, must be annealed to form an ohmic contact. The anneal was performed at 525 C for 15 minutes in N Four groups were 2. then formed: a control which was not plasma etched, and samples which were plasma etched with biases of -30, -50, and -70 volts. Etching conditions were 2 sccm SF 18 soon Ar, 1 mTorr chamber pressure, 260 6’ watts of microwave power. Each sample was positioned at d=32 mm and etched for 2 minutes. After etching the biased samples were cut in half. One half was cleaned in a solution of 105 ml NH4F and 15 ml HF for ten seconds, rinsed in DI, and blown dry with N This step was intended to remove 2. native oxides and surface contaminates from the etching process. After a 135°C, 20 minute bake-out, both the cleaned and uncleaned samples were placed in an aluminum evaporator beneath a shadow mask. Aluminum dots (0.088 cm radius) evaporated on the front surface then served as the cathodes of Schottky barriers. Figure 9.2 outlines the fabrication sequence. 188 «deli-.1 #7 Ail-hum.) one» -aov ..., 40' / / l \ =3 2:: == = In my ear Ahlumlmlhqp lhmfluunihqp Ahmflnum)hqp lhuflmum‘hup I l \ I \ I \ a: J ....L....L....| I | Figure 9.2 The Fabrication Sequence Used for Schottky Barrier Diode Experiments 189 Electrical contact to the diodes was made by probing the devices with a wafer probing station. Each wafer was electrically connected to an aluminum-coated glass slide by silver paint. The anode of the diode was contacted through the aluminum on the glass. The cathode was contacted by directly probing the aluminum dots on the wafer surface. The I-V relations were measured using a Hewlett-Packard curve tracer. Interpretation of the results is discussed in section 9.3.5. 9.3 Results and Discussion The parameter space of microwave plasma etching is far too large to investigate the full range of every experimental variable. In the following sections the effects of major experimental variables have been studied in the hope of producing physical insights into etching mechanisms. Rather than an exhaustive, brute-force search for optimal etching conditions, a selective search based on previous experimental results was conducted. The end result of this work is an etching process with 0.3 um/minute etch rates. near-perfect anisotropy, and uniformity of better than 15% over 76 mm wafers. 9.3.1 Etching with CF4 Early experiments sought to reproduce the work of Suzuki, et al. [38]. using the MPDR. Several investigators had determined that a etching gas mixture of approximately 80% CF4 (Freon 14) and 20% 02 produced optimum etch rates. Evidence indicated that this mixture enhanced the fluorine concentration in the plasma since oxygen radicals 190 quickly recombined with Cinfragments and blocked the recombination of radical fluorine. Above 20% 02, however, the oxygen was found to reduce the fluorine concentration by simple dilution. Emission spectroscopy and titration confirmed that fluorine concentration was indeed maximum with 20% 02 in CF4 (see Chapter 2). Following these findings, all MPDR etching in CF was performed using 16 sccm CF4 (80%) 4 and 4 sccm 02 (20%). In addition, the microwave containment grid was in place throughout this early work. 9.3.1.1 The Effect of Microwave Power Five silicon samples (area = 3 cm2) were etched at d = 32 mm at a constant process chamber pressure of 0.9 mTorr and wafer bias of -30 volts; the microwave input power was varied from 150 to 250 watts. The degree of anisotropy of the etched profiles obtained under these conditions remained nearly constant at approximately 0.85. Figure 9.3 plots the etch rate as a function of microwave input power and shows that the rate of silicon removal is highly dependent on power. The etch rate increases linearly from 21 nm/min. at 150 watts to 44 nm/min at 250 watts. This is an increase of over 100% in etch rate for a 67% increase in power consumption. Ion density measurements in pure argon have shown a more modest increase in ionization with power (Chapter 5). It is therefore concluded that the rapid increase in etch rate with power is due primarily to increased reactive radical production and to a lesser extent by increased ionization. Although additional microwave Etch Rate (nm/min.) 191 50 .5 O llllllellllllllJlllllJLlllllllJllIJJII]Illllllll Cd (3 50 C) 0.9 mTorr -30 Volts Bios C3 C) IIUIUIIIIIUjTYIIITTjIIIIIIUVU'UIIIIIIII on 150 200 250 300 Power (watts) d Figure 9.3 Silicon Etch Rate vs. Microwave Input Power in 16 sccm CF4 and 4 soon 02 192 power was not available for these experiments, the linear increase observed in Figure 9.3 promises substantial etch rate increases at higher power levels. 9.3.1.2 The Effect of Discharge Pressure A CF4/O2 discharge (80%/20%) at a constant total flow of 20 sccm was varied in pressure from 0.9 mTorr to 6 mTorr by throttling the high-vacuum gate-valve (Figure 3.3). Samples (3 cm2) were again etched at d=32 m using 200 watts of microwave power. The etch rate as a function of processing chamber pressure is shown in Figure 9.4. Etch rates are fairly constant at approx. 40 nm/min. but decrease rapidly below 2 mTorr and increase substantially above 5 mTorr. The higher etch rates are, however, achieved at the cost of poor anisotropy. The actual etched profiles are shown in Figures 9.5a-b. The first SEM (Figure 9.5a) displays a typical etched profile observed at 6 mTorr. Note that the silicon below the aluminum mask material is severely undercut by etching. After 30 minutes of etching the vertical etch depth was 1.8 pm and the mask was undercut by 0.72 pm giving A=0.6. At 1.8 mTorr the degree of anisotropy increases to 0.8 (not shown). Finally, by reducing the pressure to 0.9 mTorr and increasing the wafer bias to -50 volts, a degree of anisotropy better than 0.9 was obtained (see Figure 9.5b). To achieve good anisotropy, however, the etch rate was sacrificed from 50 nm/min at 6 mTorr to 35 nm/min at 0.9 mTorr. The increased undercutting of the mask observed at higher pressures may be attributed to an increase in the uncharged, reactive radical concentration. Since fluorine radicals are known to 193 60 .l f") : .E 3 V q a) 1 ...-J - g .- 20" '5 3 200 Watts 44 _ -30 Volts Bios LIJ .. 16 sccm CF4, 4 sccm 02 O IIUIIIUUIlII'llimlliiitirtllIUUII'UI‘lrI‘T'IUIIl'IIIIIIIIFIUIVTII 0.0 1.0 2.0 3.0 4.0 5.0 6.0 7.0 Pressure (mTorr) Figure 9.4 Silicon Etch Rate vs. Discharge Pressure 194 2 25K 36*2NM (b) Figure 9.5 515115 of Etched Silicon Profiles. (a) Isotropic Etching at 6 mTorr, (b) Anisotropic Etching at 0.9 mTorr. Microwave Power: 200 U, Gases: 16 soon CF4. 4 scan 02 195 spontaneously' etch silicon. and cannot be directed to bombard the surface perpendicularly by the plasma sheath’s electric field, it is proposed that these radicals are responsible for the reduced degree of anisotropy. In addition to this effect, Suzuki, et al. [38], have proposed that at higher pressures the ions suffer collisions as they traverse the plasma sheath. Thus the ion velocities become randomized and the ions may catalyze reactions as they strike the side walls of the etched profile as depicted in Figure 2.4. 9.3.1.3 The Role of Wafer Bias As the wafer bias is increased, the energy with which ions strike the silicon surface also increases (see, for example, Figure 7.2). A study of the effect of ion impingement energy was made for discharge conditions of 0.9 mTorr with input powers of 200 watts. The samples were positioned at d=32 mm and biased from -10 to -50 volts. Figure 9.6 shows that the etch rate increases as the ion impingement energy increases indicating that the ions play' a role in catalyzing the etching process. It is interesting to note that the ion current collected by the wafer and substrate holder is saturated at approximately 12 mA for bias voltages below --20 volts. It is then possible to conclude that the increase in etch rate is primarily due to increased ion energy rather than increased ion flux at the surface. The degree of anisotropy under the same etching conditions as shown in Figure 9.6 is displayed in Figure 9.7. Anisotropy approaches 0.9 as the bias approaches -50 volts. A sudden decrease in A is also observed as the bias is increased above -20 volts. The measured 196 45 A40 (nm/min. 3 1141111111111111111111111411:[14111411111111111111111114114 30 (D 4.: 0C1) 25 .C 200 Watts 0 O 0.9 mTorr _,_, 16 sccm CF4, 4 seem 02 Ll-’2o 15 IljililiilliljiliiililIIUIIII -l50 -40 -20 Wafer Bias “~(volts) Figure 9.6 Silicon Etch Rate vs. Wafer Bias Voltage Anisotropy 197 1.0 0.9 $3 F) \l on IIJJl4lleLLlllllllllJllllll[lulljllllllllllJlLl .0 oz .0 01 200 Watts 0.9 mTorr 16 sccm CF4. 4 sccm 02 50 -4O I'llIIIIIIIIIIYUIIIIIjIIU'IT -20 Wafer Bias (volts) Figure 9.7 Silicon Etch Anisotropy vs. Wafer Bias Voltage 198 floating potential of the plasma is approximately -15 volts. Hence when the wafer is biased at -10 volts ions are beginning to be repelled and an increased electron flux is being collected by the sample. The ion flux is therefore being reduced, but the neutral reactive radical flux is not affected. At bias voltages with magnitudes less than the plasma potential the etching mechanisms become dominated by spontaneous neutral etching and, therefore, become more isotropic. 9.3.2 Etching in Dilute Mixtures of SF in Argon 6 Etching in carbon-based fluorine chemistries (i.e., fluorocarbon feed gases) is generally accompanied by polymerization of the etched surface. This is beneficial in obtaining anisotropic profiles since the side-walls of the etched features may be protected from spontaneous etching by these thin polymer films. The constant formation of the films on the surface requires that they be continuously removed by ion bombardment so that the silicon surface may be exposed to the etching reactants. The formation and removal of polymers clearly slows the rate of etching. To achieve higher etch rates, fluorine containing gases which are not based on carbon (e.g., F2, Xer, NF3, been used to etch silicon and S10 . In this section the plasma etching 2 diluted in argon is investigated. SF6) have of silicon using SF6 9.3.2.1 A Comparison Between SF and CF4 Etching 6 The etching experiments of section 9.3.1.3 are repeated here using SF6 in place of CF4. Since SF6 is a highly electronegative gas, it was 199 necessary to dilute the gas mixture with easily ionized argon and increase the microwave power slightly to guarantee discharge stability. The silicon etch rates obtained for a 4 sccm SF 16 sccm Ar, 0.9 mTorr 69 discharge using 260 watts are compared to the etch rates produced using CF4 in Figure 9.8. Etch rates are approximately five times faster in SF6 than in CF4 in spite of a 75% reduction in the reactive feed gas flow rate (16 sccm-CF vs. 4 sccm-SF6). This increase in etch rate is 4 believed to be due in part to elimination of polymer formation on the silicon surface during etching. Another benefit of SF over CF4 is the 6 probable production of a higher concentration of radical fluorine which would also enhance the etch rate. The increased etch rates obtained with the use of SF6 as the etching gas are also accompanied by a lower degree of anisotropy. As seen in Figure 9.9, etching in the SF6-based gas mixture requires a larger bias applied to the wafer to achieve the same anisotropy as CF -based etching. The increased undercutting of 4 the etching mask is evidence of a higher spontaneous etch rate. This suggests a higher concentration of atomic fluorine or less surface passivation by polymer films. Although comparable anisotropies can be achieved at higher biases, increased wafer damage from energetic ion bombardment of the silicon may result. In general, however, the five-fold increase in etch rates is well worth a slight decrease in anisotropy. 9.3.2.2 SF6/Ar Mixing Ratio An experiment to determine the optimum ratio of SF6 and Ar for the etching of silicon is now discussed. Silicon samples were etched for 200 250: f? a .52”? \ E - 250 watts E150:- 0.9 mtorr v : 16 sccm Ar, 4 scorn SF5 Q) 2‘. 4_, .. 0100-:l 05 : 3 200 watts {3) : 0.9 mTorr _,_, 505 16 scorn CF4, 4 sccm 02 i o IIrllllIlllrrlllll'U]IIIITTUUITTIIIIIUII -80 -60 -40 -20 0 Wafer Bias (volts) Figure 9.8 Comparison of Silicon Etch Rates for SF6 and CF4 Plasmas vs. Wafer Bias Voltage 201 1.0 :l 0.9 E >, E 0.0-8 1‘. 250 watts O 2 0.9 mTorr 45 : 16 sccm Ar, 4 seem SF; 0 .1 C ° j < i o s 3 200 Watts ' 1 0.9 mTorr I: 16 scorn CF4, 4 seem 02 0.5 IIIIIIllllllI—rII71TTIIIIIIIIIIIIIIYYTII -l30 -60 -40 -20 0 Wafer Bias (volts) Figure 9.9 Comparison of Silicon Etch Anisotropy between SF6 and CF4 Plasmas vs. wafer Bias Voltage 202 30 minutes each in a 20 sccm total flow, 0.9 mTorr discharge sustained by 260 watts of 2.45 GHz microwave power. The samples were positioned at d=32 mm below the microwave confinement grid. The ion flux impinging on the wafer and substrate holder was measured by a digital ammeter connected in series with the -40 volt wafer bias. The intensity of the 704-nm fluorine emission line was also monitored using a optical fiber connected to a monochromator (see Chapter 8). Figure 9.10 plots the vertical and horizontal etch rates, the normalized intensity of the fluorine emission, and the normalized ion flux to the sample as a function of the flow fraction of SF in argon. 6 The vertical etch rate increases linearly with SF6 flow fraction. + 12 sccm argon are possible. Rates up to 400 nm/min for 8 sccm SF6 Unfortunately, the higher vertical etch rates are also accompanied by increased mask undercutting as demonstrated by the enhanced horizontal etch rate. The degree of anisotropy is degraded from A x 1 at 5%-SF6 to A 8 0.7 at 40%-SF . Below 7 percent SF flow it is interesting to 6 6 note that essentially no horizontal etching occurs. This behavior has also been observed by Pomot et al. [68] in microwave, SF6/Ar plasma etching (see Chapter 2). These investigators have proposed that below a critical ratio of fluorine neutral flux to ion flux, no spontaneous etching of silicon, and hence no mask undercutting, occurs. Pelletier [57] has expanded on these observations with a supporting theoretical development of ion-enhanced, f luorine-based silicon etching. Here we offer evidence supporting the conclusions of Pelletier and Pomot. The concentration of fluorine (and, therefore, the random or thermal fluorine flux to the wafer surface) is clearly increasing rapidly as seen by the increased intensity of the 704 nm emission line. (Also, see 203 600 ...a C3 9 on xn|_.] uol puo (am 4700' Ion flux 4:. C3 C) 9 GD 9 .p 200 horizontal Etch Rate (nm/min) .0 N IITTTTTI]TUIIIITIT]UIIIllIlIIIITIIIIIIIIT1rITWI 1.1 1 1 1 1 1 1 1 l 1 111 1 1 1 1 1 1 l 1 1 1 1 1 1 1 1 1 CD I rt 9 CD C: 10 20 :50 40 Percent SF,5 (h C) Figure 9.10 Vertical and Horizontal Silicon Etch Rates, Intensity of Fluorine Emissions, and Relative Ion Flux to the Wafer vs. SF6 Flow Fraction in Argon 204 Chapter 8 for an actinometric study of fluorine concentration.) At the same time, however, the ion flux increases relatively slowly as shown in Figure 9.10. The critical ratio of fluorine flux to ion flux is reached near 7%-SF6 flow and lateral etching ceases. 9.3.2.3 The Role of Argon Partial Pressure Silicon samples were etched with a constant 2 sccm flow of SF6 and an argon flow which varied from 18 to 100 soon to determine the role of argon in the etching process. Experimental conditions were 260 watts of microwave power, -40 volt substrate bias. Pressure ranged from 0.9 mTorr to 5 mTorr as the argon flow was varied. Over this range of pressures and argon flow rates the etch rate and degree of anisotropy were constant within the accuracy of the SEM measurements indicating that, at least for pressures between 1 mTorr and 5 mTorr, the argon background gas pressure does not significantly influence the etching mechanisms. 9.3.2.4 Possible Sources of Anisotropy In all of the previous experiments the wafer surface has been horizontal relative to the MPDR baseplate. In this section the wafer was tilted 600 from horizontal during etching to detect directed beams of energetic particles. A 3 on:2 silicon sample was mounted at the central axis of the discharge on the aluminum substrate holder with a 600 tilt and biased at -35 volts in a 2 sccm-SF6/18 sccm-Ar, 0.9 mTorr, 260 watt discharge. 205 The lower end of the silicon wafer was 32 mm below the microwave confinement grid and the upper end was 14 mm below. The sample was etched for 2 hr., removed, cleaved, and examined with a SEM (see Figure 9.11). The side-walls of etched features which faced toward the discharge during etching are severely undercut (R.H.S. of the profile in Figure 9.11). In fact the degree of undercutting on these surfaces is greater than would normally be expected for these plasma conditions. 0n the other hand, the side-walls of etched features which faced away from the discharge during etching (L.H.S. of the profile in Figure 9.11) are not undercut at all. The conclusion to be drawn here is that there exists an energetic particle flux from the discharge region which enhances and/or induces silicon etching. Measurements of the plasma potential in the processing chamber (Chapter 6) and direct measurement of the ion energy distribution function (Chapter 7) have shown that ions do, in fact, acquire energy as they move from the discharge chamber through the processing chamber. If ions had no significant velocity as they entered the plasma sheath surrounding the silicon sample, they would be accelerated perpendicularly to the silicon surface by the sheath’s electric field regardless of the angle of the sampLe. Under this initial zero-velocity condition the etching profiles should have equal anisotropies for all orientations. Ions which have lateral velocities upon entering the plasma sheath will still be forced to impinge on the silicon surface by the plasma sheath’s electric field, but these ions will not strike the surface perpendicularly. Etching profiles similar to that shown in Figure 9.11 would be expected. 206 ". ISKU X1500 800? 18.8U CE089 Figure 9. 11 SEM of a Silicon Sample Etched while Tilted 60° 207 The unusual etching profiles of tilted samples may also be explained by a flux of energetic photons originating in the discharge region. Photons with energies of 3 eV have been detected (see Chapter 8) and the possibility for vacuum ultraviolet (VUV) photons ( >5 eV) originating from the discharge exists. These high energy photons could induce or enhance the etching of silicon by breaking bonds in the silicon lattice or catalyzing surface reactions. The etched profiles of side-walls facing away from the discharge region would be shaded from these photons by the etched feature and, hence, would not be etched as rapidly. Further investigation into the role of VUV in etching reactions and etching damage is still needed. 9.3.2.5 Etching without the Microwave Confinement Grid Etching of silicon with this reactor configuration has been reported above with a perforated plate separating the discharge chamber from the processing chamber. As has been noted, removal of the grid considerably increases the processing chamber ion density (Chapter 6). The etch rate, which depends on both ion density and reactive radical density however, is approximately doubled by removal of the grid. Small (3 cmz) silicon wafers were etched 32mm below the reactor with an applied DC bias of -50v. The etch rate and degree of anisotropy are plotted in Figure 9.12 as a function of the fractional flow on SF6 in argon at a constant total flow of 20 sccm, chamber pressure of 0.9-1.0 mTorr, and 260 watts of microwave power. Vertical etch rate increases rapidly with SF partial pressure but at the expense of non-vertical 6 etching profiles. 500 208 1111111111111111111111111111111111111111111111111 Anisotropy Etch rate 1.0 0.8 .0 a: Adonasguv 'UIIUFIUUIIIITVIIIIIIII'UIIITIIIIITUTIIIIUIUIIU Ln Figure IIIIIIITIIIIFIIIlllllIIIIIITIIEIIIITIIIIIITIIIII 0.0 1 15 go 25 Percent SF6 In Argon 9.12 Silicon Etch Rate and Anisotropy vs. SF (microwave containment grid removed) 6 30 Flow Fraction 209 A commonly observed [11] threshold characteristic in the anisotropy occurs as the SF flow exceeds 15% of the total flow. At or 6 below 15%-SF6 flow, the profiles are nearly perfectly anisotropic as shown in Figure 9.13a. As the amount of SF6 in the discharge is increased, the etched profile exhibits some mask undercutting as pictured in Figure 9.13b. This threshold is nearly twice as high as previously observed while etching with the microwave confinement grid in place. As reported in section 9.3.2.2 the maximum SF6 flow fraction for anisotropic etching with the grid in place was 8%. The maximum anisotropic etch rate is increased from 80 nm/min. to 300 nm/min by removing the grid. The increased etch rate is due to an increase in both the concentration of fluorine radicals (higher partial pressure of SF6) as well as a higher ion density (removal of grid). The removal of the grid allows the threshold SF -flow fraction to be increased by 6 increasing the ion density in the process chamber. The additional ion flux to the wafer surface then permits a higher neutral fluorine flux while maintaining the proper ratio of ion flux to fluorine flux for anisotropic etching as suggested by Ref. [11]. The observed mask undercut in Figure 9.13b is quantified in Figure 9.14. by defining the angle (6) of the etched profile with respect to a perpendicular to the plane of the wafer. Above 15%-SF the measured 6 undercut angle is approx. 150 and below the 15%-SF6 threshold 0 8 0°. To make a crude estimate of the lateral component of the ion energy (El) we make use of the known plasma potential (VSBISV, from Chapter 6), the applied bias (Vbia incidence (e=1s°) to find that ss-SOv), and the approximate angle of 210 15KU X2488 8883 18.8U CEUBB 15KU X2488 8888 18.8U CEDSS (b) Figure 9.13 SEMs of SF6 + Ar Plasma Etched Silicon (a) Anisotropic Etching with 15%-SF6 (b) Non—anisotropic Etching with 25%-SF6 211 @ 8 Aluminum Mask ...... .......... .............. ................. ooooooooooooooooo ................. ................. ................. ooooooooooooooooo ooooooooooooooo ooooooooooooooooo Etched Wall Profile - 133-3131313121212:2:'-.:'-.:E:'::E:'::'-.: oooooooooooooooo 0000000000000000 00000000000000000 0000000000000000 ooooooooooooooooo 0000000000000000 00000000000000000 0000000000000000 00000000000000000 0000000000000000 ooooooooooooooooo ooooooooooooooooo 00000000000000000 00000000000000000 ooooooooooooooooo 00000000000000000 00000000000000000 00000000000000000 oooooooooooooooooo ooooooooooooooooo eeeeeeeeeeeeeeeeee 00000000000000000 000000000000000000 ooooooooooooooooo oooooooooooooooooo ooooooooooooooooo 000000000000000000 oooooooooooooooooo 00000000000000000 .................. ................. ...................... ........................... ................................ ................................... ......................................... ............................................ ............................. OOOOOOOOOOOOOOOOOOOOOOOO .............................. ............................................. OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOO ............................................. ............................................ ............................................. ............................................ ........................................... .................................... ................................ OOOOOOOOOOOOOOOOOOOOOOOOOOO OOOOOOOOOOOOOOOOOOOOOO O I I O O O O O O O O I C O C L ‘ ............. ........ . I n Divergence Angle, e, from gure 9.14 Definition of lo F1 Etching Profiles 212 E" at Eitanze at 5 eV (9.3) where £1 = q (VS - vbias)' This energy is found to be consistent with the spectrum of ion energies discussed in Chapter 7. Since the ion flux does not become significantly more monoenergetic as the SF flow fraction is reduced below 15% (see Figure 6 7.5) the appearance of anisotropic etching at SF flow fractions below 6 15% (3 sccm) must be related to alterations in the surface chemistry or a change in the chemistry' of the discharge. Pelletier [57] has suggested that at low partial pressures of f luorine, no spontaneous etching of silicon may occur in the absence of ion bombardment. This is supported by both Figures 9.13a and 9.13b since the silicon which lies directly under the mask at the sidewalls where it is exposed to radical fluorine (but no ion bombardment) is unetched. However, it is interesting to note that at low SF partial pressure we have observed 6 anisotropic etching even in the presence of a somewhat divergent ion flux where there is sidewall ion bombardment (Figure 9.13a). This suggests that at low partial pressures of SF not only is ion 6 bombardment necessary for etching, but there also exists an energy threshold below which ions cannot induce etching of the fluorinated silicon surface. Since the lateral energy of the ions is not independently controllable in this reactor, we can only report that this threshold is above 5 eV. 213 9.3.3 Etching Uniformity Since individual integrated circuits are duplicated many times across the surface of the silicon wafer it is critical that any IC fabrication process be uniform such that all circuits on the wafer possess similar performance characteristics. Etching of silicon is no exception. In this section the etching uniformity of the MPDR is documented and the plasma requirements for uniform etching are discussed. 9.3.3.1 Uniformity with the Microwave Confinement Grid The uniformity of 76 mm (3 inch) diameter silicon wafers etched at various distances below the microwave confinement grid is displayed in Figure 9.15. The etching conditions were 4 sccm SF 16 sccm Ar, 1 6’ mTorr chamber pressure, -50 volt DC bias, and 260 of watts microwave power. Data points were measured across the wafer from the edge nearest to the microwave input antenna during etching (0 cm) to the opposite edge (7.6 cm). At d=10 mm the wafers exhibit a uniform central region of about 50 mm in diameter and a rapid decrease in etch rate near the edges of the wafer. Further downstream at d=32 mm the etch rate is highly non-uniform but uniformity improves somewhat at d=52 mm. It is interesting to compare the general shapes of etching uniformity data in Figure 9.15 with the ion densities measured under identical discharge conditions (Figure 5.10). The same uniform central region is observed in the ion density at d=7 mm as was shown in the 214 200.. = I I I I I I 1753 I I = A II E150: d=10 mm E : = E1251 2 I C 1 I = v 00- I = I 1 .- 43 I d=32 mm I 755: :z: :I: :[: :3: D: Z I I :1: _C 50: I I I :r: B : d=52 mm LIJ .. 2:5: 0 I l l l I I ' 0.0 2.0 4.0 5.0 8.0 Posntion on Wafer (cm) Figure 9.15 Silicon Etching Uniformity in the Processing Chamber (microwave confinement grid not removed) 215 etch rate at d=10 mm. The ion density also becomes highly non-uniform at d=32 mm and more uniform again at d=52 mm. Qualitatively this suggests that a strong relationship exists between ion density and etch rate, particularly since the radical fluorine density was demonstrated to be quite uniform over the 9 cm diameter discharge region in Chapter 8. 9.3.3.2 Etch Rate vs. Ion Density In an effort to quantify the dependence of etch rate on ion density the central (r=0) etch rates and ion densities are plotted in Figure 9.16 as a function of downstream distance, d. An exponential, least squares fit of the data gives the etch rate, er, as cr = 2.56x102- exp(A1d)|A1= _0.022 (nm/min.) (9.4) and the ion density, n1, as n1 = 5.33x1010- exp(A2d)|A =-O 021 (cm-3) (9.5) 2 . where d is the downstream distance in mm. Not only do the two phenomena possess the same analytical dependence on position, but the spatial decay factors, A and A are equal within the accuracy of the 1 2’ experiment. From this we conclude that the etch rate is directly proportional to the ion flux striking the surface of the wafer given a nearly constant flux of radical fluorine. Observing that the ion 216 10"1 .104 1 : - Ion Density " A - - I") - _ A | Etch Rate E E ~ ' E v S. b1080_ -10: .5 j l. 0 c: " r 45 (D .. l. D . _ DC 5 .. - '8 .pJ _ LtJ 1o. IIIWIIIIIIIIITIIITIUTWIITI[IIIIUIIITIIIIIIIIIIIIITIITTUI 102 o. 10 20 3o. '40 so so Distance Below Discharge (mm) Figure 9.16 Comparison of Processing Chamber Argon Ion Density and Silicon Etch Rate 217 energy is too low to account for the measured etch rates by sputtering alone, we conclude that chemical etching is being catalyzed by low energy ion bombardment. 9.3.3.3 Uniformity with No Microwave Confinement Grid In Figure 9.17 the etch rate uniformity of 3 in. silicon wafers biased at -50v in a 1 mTorr plasma consisting of 4 sccm SF6 and 16 sccm Ar is shown. The microwave input power used was 260 watts. At 32 mm below the discharge the wafer has been etched with poor uniformity due, at least in part, to the non-uniform density of ions as reported in Figure 5.11. Further away from the ‘ reactor the concentrations of etching species have become much more uniform due to diffusion. At 80 mm below the reactor one finds that the wafer has been etched uniformly as measured across the wafer both collinearly perpendicularly to the reactor’s microwave excitation antenna. 9.3.3.4 Summary of Etching Uniformity In this section the dependence of etch rate on ion density has been demonstrated. In order to achieve etching uniformity it is necessary to produce a plasma which has both uniform neutral radical fluorine density and uniform ion. density. The former is easily achieved since the uncharged fluorine atoms diffuse freely and rapidly throughout the plasma volume (see section 8.5.2). A constant density of ions is a somewhat more‘ difficult task due to the restricted diffusion characteristics of charged particles in high density, 218 300: I I 32505 I I I E 5 ...I : - mm \2005 I I I E s C :1 v : 01507; ...—1 q I]? ‘5 d-BOmm too-E Hgfififlflfiflfihg .1: 3 o : 4—1 "l DJ E 54)? o:IllIIIIIUIIIIIIIIIIIIIIIITIUIIUTUIUIUII 0.0 2.0 4.0 6.0 8.0 Position on. Wafer (cm) Figure 9.17 Silicon Etching Uniformity in the Processing Chamber (microwave confinement grid removed) 219 magnetized plasmas. In this work it was necessary to position the wafer 80 mm below the plasma generation zone to achieve acceptable uniformity. Clearly etch rate was sacrificed in the interest. of uniformity. Future work should focus on improving the processing chamber geometry and multipolar confinement of charged particles in the processing chamber to improve the uniform etch rate. 9.3.4 Contamination: Auger Electron Spectroscopy Study Contamination of the silicon surface during etching is a major concern since impurity concentrations of some elements as low as one part per billion can alter semiconductor device performance. Contamination can be classified into two broad categories: surface and bulk contamination. Surface contamination, although unavoidable, is generally not serious since the impurities can usually be removed. Bulk contamination occurs when impurities are either energetically implanted into the material or diffuse from the surface into the substrate. This class of contamination is virtually impossible to remove, and, at best, can sometimes be chemically passivated. In the case of plasma etching, foreign elements originating from the etching gas, mask material, and plasma reactor material be may deposited on the wafer surface. Norse, if these elements become ionized by electron impact in the plasma, impurities may be implanted into the bulk of the wafer by energetic ion bombardment. We use a surface analysis technique known as Auger Electron Spectroscopy (ABS) to survey the composition of the wafer surface after plasma etching in the MPDR. 220 A clean, 76 mm diam. n-type silicon wafer was etched in a 4 seem SF 16 sccm Ar discharge for 30 minutes. A -50 volt wafer bias was 6’ applied to the substrate holder which was positioned 32 mm below the microwave containment grid. Chamber pressure was 1 mTorr and absorbed microwave power was 260 watts. After etching the wafer was (unavoidably) exposed to ambient atmosphere before being examined by the AES. The derivative of the Auger electron energy spectrum of the wafer surface without cleaning is shown in Figure 9.18a. Peaks in the spectrum were identified and the relative concentrations of the surface elements are Table 9.1 Surface Composition after SF6 Etching silicon . . . . . .80.3% oxygen . .15.1 fluorine . 0.5 sulfur . 1.3 carbon . 2.8 The fluorine and sulfur are adsorbed etching reactants which are to be expected surface contaminates. The carbon is a ubiquitous contaminate in the AES technique. Possible sources of carbon include atmospheric exposure after etching or impurities from backstreaming vacuum pump oil in the etching system. The large amount of oxygen on the surface is a result of the growth of a native oxide on the exposed silicon surface during atmospheric exposure. Silicon spontaneously oxidizes when exposed to air forming an native oxide layer roughly 2 nm thick. The AES peak at 78 eV identifies Si which is bonded to oxygen and thus confirms the existence of a native silicon oxide. 221 l I l i l l l l l 1 i I l 1 l l l J l 2 First Survey Scan : - r 3 149 3 : SI * (a) “ I U ’ j 269 653 I : 90 c1 Fl : . sn . 273 . I -Sil 50? £615 . j(oxide) 01 ' : I I I I I I I I I I I I I I I I I I I 39.9 239.9 439.9 639.9 939.9 1939.9 .1239.9 1139.9 1639.9 1939.9 2939.9 KINETIC ENERSII 29 I I I IIIII I 'I I I I I I, I I l I I I I : 3 Iin sputtered (1000K lag.) full area survey scan I :h— -i- - - W- -4 ______E I 215 : (b) 3 i” : I C : II 1617 I - 3d 3n _ I I I I I I I I I I I I I I I I I I I 30.0 270.0 510.0 750.0 090.0 1230.0 1470.0 1710.0 1950.0 2190.0 2430.0 KINEIIC ENERGY: eU Figure 9.18 AES Surveys of Plasma Etched Silicon Atomic Composition (a) As Etched, (b) Argon Sputter Etched (2-3 nm) 222 Although the AES technique examines only the surface of the sample, it is possible to probe into the wafer bulk by sputter etching the silicon using an ion beam in situ. A 3 kV argon ion beam was used to remove approximately 2-3 mm of the test sample’s surface. The AES survey of the newly exposed surface (Figure 9.18b) demonstrates that all of the impurities documented above reside in the 2 nm native oxide surface. The only contaminate which is now measurable in the silicon bulk is argon. Since this argon was not detected in the original surface survey, it is reasonable to conclude that the argon atoms were implanted during the sputtering process and not by plasma etching. ABS and X-ray Photon Spectroscopy (XPS) of MPDR plasma-oxidized silicon have shown considerable iron and tin contamination from the plasma reactor walls [106]. The absence of metal contamination in etched samples should be viewed with some skepticism since the sensitivity of AES is limited to impurity densities of 1018-1019 cm-3. However, the lower degree of metal contamination of etched samples may be due to the following factors: 1. The plasma potential (and, hence, the energy of ions bombarding the reactor walls) in SF6/Ar plasmas in the MPDR is only 15 volts and is below the sputter threshold of metals. This is much lower than the 20-35 volt plasma potentials of pure oxygen plasmas used in silicon oxidation. 2. Sulfur, fluorine, and SFx do not appear to react with the stainless steel in the baseplate whereas oxygen plasmas have been observed to oxidize the baseplate. Metals may then be transported to the wafer surface in the form of oxides. The sputter threshold of the oxidized surface may be lower or the sputter yield of the metal-oxide compound may also be increased. 223 3. Finally, plasma etching may exhibit a lower concentration of metal contaminates than plasma oxidation simply because etching is a subtractive process which continuously removes contaminates and oxidation is an additive process in which contaminates are continuously incorporated into the oxide. Because the sensitivity of metal detection by AES is limited, it is necessary to obtain further data on the contamination effects of plasma etching. In the following section a comparative study of the performance of Schottky barrier diodes fabricated on plasma-etched silicon surfaces is presented. 9.3.5 Contamination and Damage: Schottky Barriers Schottky barrier diodes (SBD) were fabricated on MPDR plasma-etched silicon ‘wafers as described in section 9.2.4. The voltage-current relationship of the SBD is very sensitive to lattice damage and surface contaminates of the silicon [107]. Although this technique for assessing damage and contamination yields no quantitative results, it can lead to insights into the severity as well as the origin of damage and contamination. 9.3.5.1 SBD Performance Parameters The I-V characteristics of the SBD can be interpreted to provide several figures of merit by' which. diode performance (and, hence, etching damage) can be compared. In general, the expression for the current density through a SBD, J, as a function of applied voltage, V may be written as [107] 224 J = Js exp (qV/nkT) (9.6) where Js is the saturation current density, q is the electron charge, n is the diode ideality factor, k is Boltzmann’s constant, and T is the diode temperature (in Kelvin). The saturation current density may be expressed in terms of the Richardson’s constant, A**= 120 A/cmZKZ, and the electrostatic barrier height between the metal and silicon, ¢Bp J = 4*” 12 exp( lkT) (9.7) s 'q¢Bp The saturation current is determined experimentally by a straight-line extrapolation of the a log-linear I-V curve to V=0. The intersection of this extrapolation with the current-axis is the saturation current, IS (see Figure 9.19). The saturation current density is simply given by JS = IS/Ad (9.8) where Ad is the area of the diode Junction. The ideality factor is computed from n = q/kT 5?;%77 (9.9) Finally the barrier height is computed from ¢Bp = kT/q 1n(A** TZ/JS) (9.10) 225 1o " ‘ m 10 "a «W U A 00::30‘2b “ r“\ o 5‘ S, 10 " z 2:: 3 4" p10 etched (-7o “... C 10 _. uno V) ,0 a) 0' L. 9’. L. _.. . 0.0 8 10 4 -' .n' O." '4‘ .0. E 10 '7 2‘ .3 C E 10 _. control 0 LI. 10 4 o O O .10 , 0.00 0.1 0 0.20 0.30 0.40 0.50 0.60 Forward Voltage (V) Figure 9.19 Comparison of the Current-Voltage Characteristics of Schottky Diodes Fabricated on Unetched and Plasma Etched p-type Silicon 226 In addition to these figures of merit, reverse leakage current is also reported. For the purposes of this paper, reverse leakage current is defined to be the current which flows through the diode when -1 volt is applied to its terminals. Finally, the turn-on voltage is reported as the forward voltage required to cause 10 uA of current to flow. 9.3.5.2 Evaluation of SBD Performance The forward I-V characteristics of a control (unetched) SBD and three SBDs which were etched at -70 volts and cleaned in a buffered oxide etch are plotted in Figure 9.19. iThe first deviation of the I-V characteristic induced by plasma etching is the non-linear character of these curves occurring above V=O.3 volts. This reduction of slope at higher current levels indicates the existence of a large series resistance in the plasma-etched diodes. It may be speculated that this series resistance is due to very thin films of surface contaminates which are now trapped between the aluminum cathode and the silicon surface. The existence of thin films of contaminants will be discussed later with respect to the samples etched at -30 and -50 volts. The various figures of merit for the diode performance were computed as described. in. section. 9.3.5.1 and are compared in Table 9.2 below. Saturation currents of SBDs are increased by the plasma etching process. The barrier heights of the control SBDs are higher than 227 Table 9.2 Schottky Barrier Diode Performance Sample No. Plasma Etch Js(A/cm2) ¢Bp (V) n cos no 1.:36x10"8 0.888 1.57 c04 no 1.25::10'8 0.890 1.49 cos no 1.4411(10'8 0.886 1.49 H03 yes, -70v 2.30::10"S 0.695 1.32 H04 yes, —70v 1.19::10"S 0.712 1.29 H05 yes, -70v 5.63::10'6 0.731 1.26 normal (0.58 volts), possibly due to a thin insulating native oxide at the diode Junction. Etched SBDs, however, show degraded. barrier heights indicating damage or contamination. It is interesting to note that the ideality factor of the plasma etched SBDs is actually closer to ideal (i.e., n=1) for the plasma etched diodes than for the control diodes. Note, however, that the calculation of n was based on only the portion of the I-V characteristic where 0.08150 mm) wafers. Chapter 10 Summary of Results and Recommendations for Future Research 10.1 Summary of Significant Results The experimental investigation of the multipolar electron cyclotron resonant microwave plasma disk reactor (MPDR) presented in this work provides a more complete understanding of the generation of low pressure, high density discharges, the plasma physics and macroscopic characteristics of these discharges, and the application of these plasmas to anisotropic etching of silicon. Studies of the microwave electromagnetic field which sustains the plasma have led to a better understanding of the operation of the microwave cavity plasma applicator and a 0.8. patent application [35]. Knowledge of the macroscopic plasma properties such as ion density, electron and ion energy distribution functions, and relative neutral density allow for the control and engineering of plasma processes as well as improvement in discharge operation (e.g., uniformity, efficiency, ...). Finally this work reports on the development of a process for fast, low ion energy, anisotropic etching of silicon. Results from plasma characterization, etching models from the scientific literature (see Chapter 2), and experimental etching data were combined to synthesize simple models for etching in the MPDR. These models not only explain etching results but also suggest methods of improving the etching 233 234 process. The final result of this work is a detailed knowledge of the operation and characteristics of the MPDR-generated discharge and a documented plasma process for anisotropic etching of silicon. 10.1.1 Characterization of Microwave Electric Fields The interaction of microwave electromagnetic (EM) energy (2.45 GHz) with the discharge was reported in Chapter 4. The EM cavity modes of the MPDR reactor were identified while sustaining a plasma (0.9 mTorr, 20 sccm Ar, 260 U) by measurement of the cavity length, LS, and the radial component of the microwave electric field at the cavity wall. TE211’ TE311, and hybrid modes which are superpositions of TEn11 (n=0,1,2) modes were discovered to be the cavity modes which match microwave power to the plasma with the least reflected power. The absolute magnitude of the electric field within the cavity was determined to fall between 7 kV/m and 23 kV/m, depending on plasma conditions. The E-field strength within the plasma (at the above conditions) near the ECR heating regions was estimated at 7 kV/m for both TE and TE modes. This data should prove useful for 211 311 theoretical and computer models of ECR plasma heating. 10.1.2 Ion Density Measurements, Plasma Stability and Modeling Double Langmuir probe measurements of the ion density in the MPDR discharge in the downstream processing chamber give a peak ion density of 6.3x1011 ions/cm3 (at 0.9 mTorr, 20 sccm argon, 260 watts microwave power input) with no microwave confinement grid between the discharge 235 and processing zones (see Figure 3.1). This is an improvement by a factor of 20 over the density of ions in the processing chamber with the grid in place. The variation of ion density with pressure, flow rate, power, and position in the discharge has also been recorded. An ambipolar diffusion model for the spatial distribution of ions in the MPDR processing chamber developed in Chapter 5 agrees well with the experimental data. Finally, measurement of the ion density for several variations of ECR magnetic field geometry and EM cavity mode indicate that the processing chamber ion density generated by the MPDR is not a strong function of EM cavity mode or the number of magnetic poles in the discharge chamber. The plasma stability at low input power is, however, strongly dependent on careful alignment of the multipolar ECR magnetic field and electromagnetic field of the cavity mode. It was determined that the most stable plasmas at low microwave powers are sustained by cavity modes in which the electric fields are perpendicular to the static ECR magnetic fields. The TE211 mode used in combination with an 8-pole magnetic field was determined to provide the best stability of the geometries tested. A 0.9 mTorr, 20 sccm argon plasma could be sustained with less than 50 watts of microwave power with ion densities of 1.8x1011 cm-3 using this configuration. The importance of efficiently creating a high density plasma with minimal microwave input power should not be underestimated. Absorption of unnecessarily large amounts of microwave power may cause excessive gas heating, ultraviolet radiation, and generation of multiply charged ions (which are all incompatible with plasma processing) in addition to higher energy and equipment costs. 236 10.1.3 Electron Energy Distribution Functions In Chapter 6 a single Langmuir probe technique for determining the electron energy distribution function (EEDF) was applied to the MPDR processing chamber plasma. The EEDFs in argon discharges were determined to fall between Maxwellian and Druyvesteyn distributions. The electrons are, therefore. slightly less energetic than assumed in plasma theories which use a Maxwellian distribution. EEDFs in oxygen and SF6 + Ar discharges show highly non-Maxwellian behavior. In the case of SF6 + Ar discharges, however, the unusual shape of the EEDF is attributed to electron inelastic collision processes rather than ECR plasma heating or electron-electron collisions. The EEDF measurements show that no high energy electrons from the ECR heating process (or elsewhere) exist downstream in the processing chamber. This observation is significant since high energy electrons in the processing zone could potentially induce damage in the processed substrate. 10.1.4 Ion Energy in the MPDR A gridded ion energy analyzer was designed and used to determine the energy spectrum of ions impinging on an electrically biased, conducting substrate. Using this instrument it was determined that the impingement energy could be easily controlled by DC biasing the substrate. Three sources of the observed ion energy were identified: the substrate bias, the change in potential between the plasma and electrical ground (i.e., the plasma potential), and energy gained from 237 VB forces or gradients in the (bulk) plasma potential (VVS). It was also discovered that the introduction of electronegative plasma species into the discharge greatly reduces the average ion energy by decreasing the plasma potential. Since the etching gas SF6 is highly electronegative, ions impinging on the MPDR reactor walls have energies less than or equal to the sputter threshold of most materials (820 eV). This should reduce the contamination in the discharge and on the etched substrate due to sputtered MPDR reactor materials. 10.1.5 Emission Spectroscopy Emission spectroscopy of the MPDR discharge was used to identify species and monitor relative concentrations of ions and neutrals. The emission spectrum of 0.9 mTorr argon discharges (at 260 watts) reveals no evidence of doubly ionized argon (Ar++). Doubly ionized species in the discharge gain twice the energy while traversing the plasma sheath and, hence, may unnecessarily increase the damage and contamination of the plasma process. A novel technique for the determination of relative argon ion density by comparison of the intensities of the 358.8 nm argon ion emission line and the 360.7 nm argon neutral line was introduced. The ratio of the intensities of these two emissions from the discharge fairly accurately represents the relative argon ion density. Using this technique, the argon ion density in the ECR zones was determined to be approximately 1.2x1012 cm-3. In addition the spatial variation of argon ions in the MPDR’s discharge chamber was documented. The spatial distribution of ions indicates that the plasma is being heated primarily in the ECR regions and that Joule heating 238 (non-ECR, collisional heating) plays a secondary role in plasma energy absorption in the MPDR. Finally the spatial variation of fluorine radicals was determined by the method of actinometry. Fluorine concentration in SF6 + Ar discharges is quite uniform (<10%). A slight increase in fluorine density near the microwave input probe indicates that near-zone microwave fields from the probe may be enhancing plasma generation in this region. 10.1.6 Silicon Etching, Contamination, and Damage This work concludes with a study of fluorine-based plasma etching of silicon. Both CF4- and SF6-based etching gases were investigated. SF6 etching rates are from four to five times faster than CF4 rates with only a few percent loss in anisotropy. The best anisotropic etching etching performance achieved in this work was a 300 nm/minute etch rate at d=32 mm (see Figure 3.1) with 3 sccm SF 17 sccm Ar, 1 mTorr 6’ chamber pressure, 260 watts of microwave power, and a -50 volt DC wafer bias. The input power was limited by MPDR chamber design and the microwave power source, but increased input powers should provide even better etch rates. uniformity of 15% over 76 mm diameter wafers was achieved by positioning the wafers 80 mm below the MPDR. Perfectly anisotropic etching of silicon was observed in the MPDR despite the spatial divergence of the ion flux. This observation leads to a new hypothesis that ions with energies below a critical threshold cannot induce fluorine etching of silicon. The lower limit of this energy threshold is estimated at 4-5 eV. 239 Contamination in the form of thin, oxygen-deficient SiOX films at the silicon surface due to plasma etching in SF6 + Ar gas mixtures and subsequent atmospheric exposure is limited to the first 2 nm of the exposed surface. The contamination layer also contains traces of sulfur, f luorine, and carbon. No evidence of implanted or diffused impurities in the wafer was found. The electrical performance of Schottky barriers fabricated on the etched silicon surface, however, was severely degraded by these surface contaminates. 10.2 Recommendations for Future Research This research has examined a broad range of the aspects of the operation and properties of the MPDR plasma source. In no way, however, does this work claim to be complete. More detailed investigations into the topics covered in this thesis as ‘well as theoretical modeling of the MPDR discharge region and development of other plasma processes such as plasma-assisted deposition are still needed to complete our understanding of this device. Recommendations for future research follow. 10.2.1 Investigation of dimensionally scaled MPDRs In addition to the 9 cm diameter discharge discussed in this work, 5 cm [191,120] and 19 cm [23] diameter discharge MPDRs have been developed at Michigan State University. These reactors are spin-offs of the 9 cm design and have yet to be thoroughly characterized. Using this work as a guideline, the scaled versions of the MPDR need to be 240 more thoroughly investigated. Of particular importance to silicon etching is the 19 cm diameter plasma source. The 9 cm prototype source is capable of uniform etching over a maximum diameter of 76 mm (3 inches). Since typical wafer diameters used in the production of integrated circuits are at least 150 mm in diameter, the success of the MPDR concept in IC processing depends on uniform processing of larger wafers, and hence, on the performance of the 19 cm source. 10.2.2 Modeling of the Discharge Chamber Modeling of charged particle diffusion in the processing chamber was discussed in this work (Chapter '5). Models of the discharge chamber, however, should prove to be considerably more involved since this chamber was shown to be dominated by f ree-fall (rather than ambipolar) diffusion. In addition, the presence of strong, non-uniform static magnetic fields and microwave fields will influence charged particle diffusion, radical production, and ionization within the discharge chamber. A successful model of diffusion within the discharge chamber is important for the design of future MPDRs with improved performance, efficiency, and purity. 10.2.3 Improved Design of the Processing Chamber In the current plasma processing system plasma species freely diffuse into a large bell Jar where plasma processing occurs. The diffusion processes typically result in non-uniform plasma characteristics and divergent ion traJectories in the process chamber. 241 In. Figure 10.1a. a qualitative plot of equipotential and constant density contours demonstrates the plasma characteristics as determined in this work. Future research should optimize the chamber design to reduce the loss of charged particles and increase uniformity. This is shown conceptually in Figure 10.1b where ion densities and plasma potentials are uniform (in radial cross sections) and the ion flux is non-divergent. Currently a multipolar magnetic confinement chamber is being designed to surround the processing region and reduce radial diffusion. The multipolar confinement scheme should improve the uniformity and ion density within the process zone. A solenoidal magnetic bottle in the processing chamber consisting of two spaced-apart solenoidal magnet coils may also improve uniformity and ion density in the processing chamber. 10.2.4 Further Work in Plasma Etching Considerable research in the area of MPDR plasma etching still exists. First, a temperature-controlled substrate holder for the etched silicon wafers should be designed and installed in the processing chamber. Using this new substrate holder the effects of silicon substrate temperature on etching characteristics can be studied. In the current work a DC voltage bias was applied to the wafer to control ion energy to the wafer’s surface. The etching performance of the MPDR using a radio-frequency (RF), 13.56 MHz bias which is 242 Dhmhump chamber III-ll kmmlmd (a) lmflpflwmfldVMhmflhmt nuuuytbuUMuI (b) Opflmmullhnna-Uulflumunr Figure 10.1 Qualitative Equipotential and Constant Density Contours in the MPDR Processing Chamber (a) as determined in this work, ' and (b) the future design goal. 243 capacitively coupled to the substrate holder should be investigated. With the RF bias insulating materials such as SiO2 and Si3N4 can also be etched. The MPDR plasma source has been shown to be a strong source of ultraviolet (UV) radiation in Chapter 8. The role that these high energy photons [108] play in the etching of silicon may be significant in terms of etch rate, anisotropy, and damage. By placing materials which filter UV photons (e.g., quartz and pyrex) between the plasma source and the silicon substrate the effects of the UV radiation may be determined. Finally the issue of damage and contamination needs to be addressed more completely. Beginning where this work ends, a non-damaging method for removal of the contamination layer deposited by the plasma etching process should be developed. Then a thorough electrical characterization (Schottky barrier diodes and metal-oxide-semiconductor (MOS) capacitors) of the damage induced by etching can be used to identify the sources of damage and minimize their effects. LIST OF REFERENCES [1] [2] [3] [4] [5] [6] [7] [8] [9] [10) [11] [12) [13] [14] 244 LIST OF REFERENCES T. Sugano, Applications 9; Plasma Processes t9 VLSI TechnologyI p. 145, (Wiley & Sons, New York, 1985). J. Zhang, B.R. Huang, D.K. Reinhard, and J. Asmussen, "An Investigation of the effects of electromagnetic field patterns on microwave plasma diamond thin film deposition,“ to be published, J. Vac. Sci. Technol. A, May/June 1990. S. Matsuo and M. Kiuchi, Jpn. J. Appl. Phys. 22, L210 (1983). K. Machida and H. Oikana, J. Vac. Sci. Technol. B 4, 818 (1986). G.T. Salbert, D.K. Reinhard, and J. Asmussen, "Oxide growth on silicon using a microwave electron cyclotron resonant oxygen plasma," to be published, J. Vac. Sci. Technol. A, May/June 1990. T. Roppel, D.K. Reinhard, and J. Asmussen, J. Vac. Sci. Technol. B 4, 296 (1986). B. Lamontagne, A.M. Wrobel, G. Jalbert, and M.R. Wertheimer, J. Phys. D 20, 844, (1987). K. Kretschmer, K. Matl, G. Lorenz, and I. Kessler, Solid State Technol. 33, 53 (1990). J. Paraszczak, J. Heidenreich, M. Harzakis, and M. Moisan, Microelectronic Eng. 3, 397, (1985). G. Sauve and M. Moisan, Appl. Phys. Lett. 53, 470, (1988). B. Mahi, Y. Arnal, and C. Pomot, J. Vac. Sci. Technol. B S, 657, (1987). Electronic NewsI (21 Sept. 1987), p. 32. M. Dahimene, “Development of a Microwave Ian and Plasma Source Immersed in a Multicusp Electron Cyclotron Resonant Magnetic Field," Ph.D. Dissertation, Michigan State University (1987). J. Asmussen and J. R0Ot, u.s. Patent No. 4 507 588 (26 March 1985). [15] [16] [17] [18] [19] [20) [21] [22] [23] [24] [25] [26] [27] [28] [29] ‘ 245 J. Asmussen and D.K. Reinhard, U.S. Patent No. 4 585 668 (29 April 1986). J. Asmussen and D.K. Reinhard, U.S. Patent No. 4 603 566 (23 December 1986). J. Asmussen and D.K. Reinhard, and M. Dahimene, U.S. Patent No. 4 727 293 (23 Feb 1988). M. Dahimene and J. Asmussen, J. Vac. Sci. Technol. B 4, 126 (1987). L. Mahoney, M. Dahimene, and J. Asmussen, Rev. Sci Instrum. 59, 448 (1988). L. Mahoney, "The Design and Testing of a Compact Electron Cyclotron Resonant Microwave-Cavity Ion Source," M.S. Thesis, Michigan State University (1989). J. Hopwood, R. Wagner, D.K. Reinhard and J. Asmussen, “Electric fields in a microwave-cavity ECR plasma source," to be published in J. Vac. Sci. Technol. A, May/June 1990. J. Hopwood, D.K. Reinhard and J. Asmussen, ”Charged particle densities and energy distributions in a multipolar ECR microwave plasma etching source," to be published in J. Vac. Sci. Technol. A, Jul/Aug 1990. J. Asmussen, J. Hopwood and F.C. Sze, Rev. Sci. Instrum., 61, 250, (1990). J. Hopwood, D.K. Reinhard and J. Asmussen, J. Vac. Sci. Technol. B, 6, 1896 (1988). J. Hopwood, M. Dahimene, D.K. Reinhard and J. Asmussen, J. Vac. Sci. Technol. B, 6, 268 (1988). J. Hopwood, R. Wagner, D.K. Reinhard, J. Asmussen, ”Optimization of microwave electric fields in ECR plasmas,” presented at the 36th Nat’l. Symposium of the American Vacuum Society, Boston, Massachusetts, October 23-27, 1989. J. Hopwood, D.K. Reinhard, J. Asmussen, "Characteristics of a multipolar ECR microwave cavity plasma etching source," presented at the 16th IEEE Conference on Plasma Science, Buffalo, New York, May 22-26, 1989. J. Hopwood, D.K. Reinhard, J. Asmussen, "Performance of multipolar electron cyclotron resonance microwave cavity plasma sources," Invited Paper, 24th Microwave Power Symposium, Stamford, Conneticut, August 21-23, 1989. J. Asmussen, J. Hopwood, D.K. Reinhard, L. Mahoney, "Microwave plasma applicator design principles for low and high pressure applications," Invited Paper, 23rd Microwave Power Symposium, Ottawa, Canada, August 29-31, 1988. 246 [30] G.T. Salbert, J. Hopwood, J. Asmusen, D.K. Reinhard, "Microwave plasma oxidation and etching for intergrated Circuit Processing," Invited Paper, 23rd Microwave Power Symposium, August 29-31, 1988. [31] J. Hopwood D.K. Reinhard, J. Asmussen, "Experimental conditions for uniform anisotropic etching of silicon with a microwave ECR plasma," presented at the 32nd International Symposium on Electron, Ian, and Photon Beams, Ft. Lauderdale, Florida, May 31-June 3, 1988. [32] J. Hopwood, D.K. Reinhard, J. Asmussen, "Anisotropic silicon etching with a microwave cavity ECR plasma system," presented at the International Conference on ECR Ion Sources, East Lansing, Michigan, November 16-18, 1987. [33] M. Dahimene, L. Mahoney, J. Hopwood, G. Salbert, D.K. Reinhard, J. Asmussen, “Comparison of experimental measurements and theoretical modeling of low pressure microwave discharges," presented at the 14th IEEE International Conference on Plasma Science, Arlington, Virginia, June 1-3, 1987. [34] J. Hopwood, M. Dahimene, D.K. Reinhard, J. Asmussen, ”Plasma etching with a microwave cavity plasma disk source," presented at the Blst International Symposium on Electron, Ian, and Photon Beams, Los Angeles, California, May 26-29, 1987. [35] J. Asmussen and J. Hopwood, “Control of an electron cyclotron resonant plasma with a single, multicusp, electromagnetic excitable mode,” U.S. Patent Application (19 October 1989). [36] D.K. Reinhard, Introduction 39 Integrated Circuit Engineering, p. 3, (Houghton-Mifflin, New York, 1987). [37] J.W. Coburn, Plasma Chem. and Plasma Process. 2, 1 (1982). [38] K. Suzuki, S. Okudaira, N. Sakudo, and I. Kanomata, Jpn. J. Appl. Phys. 16, 1979 (1977). [39] S.M Gorbatkin, L.A. Berry, and J.B. Roberto, "Behavior of Ar Plasmas Formed ina Mirror Field Electron Cyclotron Resonance Microwave Ion Source," to be published in J. Vac. Sci. Technol. A, May/June 1990. [40] Y.H. Lee, J.B. Heidenreich, and G. Fortuno, J. Vac. Sci. Technol. A 7, 903 (1989). [41] T. Ono, M. Oda C. Takahashi, and S. Matsuo, J. Vac. Sci. Technol. 8 4, 696 (1986). [42] [43] [44] [45] [46] [47] [48] [49] [50] [51] [52] [53] [54] [SS] [56] [57] [58] [59] [60] [61] 247 K. Suzuki, S. Nishimatsu, K. Ninomiya and S. Okudaira, Proc. Intl. Ion Eng. Congress - ISIAT’83 & IPAT’83, Kyoto, Japan, p. 1645 (1983). O.A. Popov, J. Vac. Sci. Technol. A 7, 894 (1989). J. Forster and W. Holber, J. Vac. Sci. Technol. A 7, 899 (1989). R.R. Burke, J. Pelletier, C. Pomot, and L. Vallier, "Distributed Electron Cyclotron Resonance (DECR) in Silicon Processing: Epitaxy and Etching," to be published in J. Vac. Sci. Technol. A, May/June 1990. R.R. Burke and C. Pomot, Solid State Technol. 31, 67 (1988). D.R. Nicholson, Introduction 59 Plasma TheoryI p. 27, (Wiley and Sons, New York, 1983). ibid.. p. 22. M. Sadowski, Rev. Sci. Instrum. 44, 1545, (1969). J.D. Swift and M.J.R. Schwar, Electrical Probes f9; Plasma Diagnostics. Chapters 4 and 7, (Elsevier, New York, 1969). F.F. Chen, Plasma Diaggostic Techniques, R.R. Huddlestone and S.L. Leonard, eds., p. 113 (Academic Press, New York, 1965). F.O. Johnson and L. Malter, Phys. Rev. 80, 58 (1950). G. DiMaggio, Private Communication, Wavemat, Inc., Plymouth, Michigan. C. Bulucea, M.R. Kump, and K. Amberiadis, IEEE Trans. on Electron Devices 36, 2521 (1989). M.J. Vasilw and F.A. Stevie, J. Appl. Phys. 53, 3799 (1982). D.L. Flamm, V.M. Donnelly and J.A. Mucha, J. Appl. Phys. 52, 3633 (1981). J. Pelletier, J. Phys. D 20, 858, (1987). R.R. Koenig and L.I. Maissel, IBM J. Res. Develop. 14, 168 (1970). L.M. Ephrath, IEEE Trans. on Electron Devices 28, 1315 (1981). Lin I, D.C. Rinson, W.H. Class, and R.L. Sandstrom, Appl. Phys Lett. 44, 185 (1984). C.J. Mogab, VLSI TeghnologyI S.M. Sze, ed., p. 322, (McGraw-Hill, New York, 1983). [62] [63] [64] [65] [66] [67] [68] [69] [70] [71] [72] [73) [74] [75] [76] [77] [78] [79) [80] 248 D.L. Flamm and V.M. Donnelly, Plasma Chem. and Plasma Process. 1, 317 (1981). D.L. Flamm, D.E. Ibbotson, J.A. Mucha, and V.M. Donnelly, Solid State Technol. 26, 117 (1983). K. Suzuki, S. Okudaira, S. Hishimatsu, K. Usami, and I. Kanomata, J. Electrochem. Soc. 129, 2764 (1982). C.J. Mogab, A.C. Adams, and D.L. Flamm, J. Appl. Phys. 49, 3796 (1978). J.W. Coburn, J.F. Winters, and T.J. Chuang, J. Appl. Phys. 48, 3532 (1977). Y. Arnal, J. Pelletier, C. Pomot, B. Petit, and A. Durandet, Appl. Phys. Lett. 45, 132 (1984). C. Pomot, B. Mahi, B. Petit, Y. Arnal, and J. Pelletier, J. Vac. Sci. Technol. B 4, 1 (1986). B. Petit and J. Pelletier, Jpn. J. Appl. Phys. 26, 825 (1987). J. Root and J. Asmussen, Rev. Sci. Instrum. 56, 154 (1985). T.J.M. Boyd and J.J. Sanderson, Plasma DynamicsI p. 174, (Barnes and Noble, New York, 1969). J. Asmussen, J. Vac. Sci. Technol. A 7, 883 (1989). D.R. Nicholson, Introductign tg Plasma Theory, p. 159, (Wiley and Sons, New York, 1983). ibid., p. 5-6. R.F. Harrington, Iimg-flarmonig Elgctromaggetic Fields, p. 204ff. (McGraw-Hill, New York, 1965). J. Krupka, IEEE Trans. Microwave Theory Tech. MTT-33, 274 (1985) L.L. Frasch, "An Experimental and Theoretical Study of a Microwave Cavity Applicator Loaded with Lossy Materials,“ Ph.D. Dissertation, Michigan State University (1987). S. Burkhart, IEED Trans. Microwave Theory and Tech. MTT-33, 262, (1985). R.F. Harrington, lime-Ragmonig Electgomaggetic FieldsI p.216, (McGraw-Hill, New York, 1961). R.A. Morgan, Plasma Etchigg lg Semiconductor FabricationI p. 176, (Elsevier, Amsterdam, 1985). 249 [81] R.N. Franklin, Plasma Phenomena lg Gag DischargesI p. 30, (Clarendon Press, Oxford, 1976). [82] B.E. Cherrington, Gaseous Electronics and Gag Lasers, p. 148, (Pergamon Press, Oxford, 1979). [83] ibid., p.145. (84] D. Rapp and P. Englander-Golden, J. of Chem. Phys. 43, 1464 (1965). [85] B.E. Cherrington, Gaseous Electronics gag figs LasersI p. 99, (Pergamon Press, Oxford, 1979). [86] M.J. Druyvesteyn, Z. Phys. 64, 781 (1930). [87] J.D. Swift and M.J.R. Schwar, Electrical Probes {9; Plasma DiagnosticsI p. 80, (Elsevier, New York, 1969). [88] J.B. Heidenreich III, J.R. Paraszczak, M. Moisan, and G. Sauve, J. Vac. Sci. Technol. B 6, 288 (1988). [89] H.W. Rundle, D.R. Clark and J.M. Deckers, Can. J. of Phys. 51, 144, (1973). ' [90] B.E. Cherrington, Gaseous Electronics gag figs LasersI p. 53, (Pergamon Press, Oxford, 1979). [91] ibid., p.66. [92] R.E. Kennerly, R.A. Bonham, and M. McMillan, J. Chem. Phys. 70, 2039, (1979). [93] M. Matsuoka and K. Ono, Appl. Phys. Lett. 50, 1864 (1987). [94] G.T. Salbert, Private Commuication, Michigan State University, East Lansing, Michigan. [95] S.J. Fonash, Solid State Technol. 28, 201 (1985). [96] S.W. Pang, Solid State Technol. 27, 249 (1984). [97] G. Thomas and R. Finney, Calculus gag Agalyllg Qggmg;;yl p. 215,(Addison-Wesley, Reading, Mass., 1979). [98] 939 fiandbook 9; Physics gag ghgmlstry, (CRC Press, Cleveland, 1977) [99] R. d’Agostino. F. Cramarossa, s. De Benedictis, and F. Fracassi, Plasma Chem. and Plasma Process. 4, 163 (1984). [100] R.E. Walkup, K.L. Saenger, and 6.5. Selwyn. J. Chem. Phys. 84, 2668 (1986). [101] J.S. McKillop, J.C. Forster and W.M. Holber, J. Vac. Sci. Technol. A 7, 908 (1989). . [102] [103] [104] [105] [106] [107] [108] 250 A.R. Striganov and M.S. Sventitskii, Tables 9; Spgctral Lines 9; Neutgal gag Ionized Atoms, (Plenum, New York, 1968). S.C. Brown, lntroduction 39 Electrical Discharges lg Gases, p. 70, (Wiley and Sons, New York, 1966). D.K. Reinhard, Introduction 39 Intergrated Circuit Engineerigg, p. 381ff., (Roughton-Mifflin, New York, 1987). R.A. Colclaser, Microelectronics: processigg Egg device desigg, p. 98, (Wiley and Sons, New York, 1980). G.T. Salbert, Private Communication, Michigan State University, East Lansing, Michigan. S.M. Sze, [£25105 9; §gmlcogductor Devices. Chapter 5, (Wiley and Sons, New York, 1981). S. Watanabe, S. Ueda, N. Nakazato, and M. Takai, Jpn. J. Appl. Phys. 25, L881 (1986).