kn. . .91 12-1... 3.1..“ 0 art: ‘1'... .x a» . .5 nun» .liv ‘ .u. . 14.63.: 5y . 7}?“fl5nm . - 54991.3... 1 if!) z: . . {lit .1. I... .I - has... Lnumrhvfifiufi . ,3. 1|. . .. I. . . . a..l..n..a..mmw:0Lvuflflfl .233... nu. 1.42.. éfiiég $3.; ,9 .. . .x ._.u.. .. LIBRARY Michigan State Unwersity This is to certify that the thesis entitled Digital Low-Level Radio Frequency Control and Microphonics Mitigation of Superconducting Cavities presented by Nathanael Robert Usher has been accepted towards fulfillment of the requirements for the MS. degree in Electrical Engineering %P/ Major Professor's Signature 00/32/2 007 Date MSU is an affirmative-action, equal-opportunity employer —a-o-o-.-o-o—-—.-.—-.—-o-u—o--o-o--.—o—u-uoou— —-—.-—.-.-.-.--.-.-.-.-.-D-I-O-O-.-.-.-.-.-.-I-I-.-I—.-.-.-'—.-.—.-.-.-.--— PLACE IN RETURN BOX to remove this checkout from your record. TO AVOID FINES return on or before date due. MAY BE RECALLED with earlier due date if requested. DATEDUE DATEDUE DAIEDUE 6/07 p:/ClRC/DateDue.indd-p.1 Digital Low-Level Radio Frequency Control and Microphonics Mitigation of Superconducting Cavities By Nathanael Robert Usher A THESIS Submitted to Michigan State University in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE Department of Electrical and Computer Engineering 2007 ABSTRACT Digital Low-Level Radio Frequency Control and Microphonics Mitigation of Superconducting Cavities By Nathanael Robert Usher Superconducting Radio Frequency (SRF) cavities designed for proposed linear reaccel- erators at the National Superconducting Cyclotron Laboratory (NSCL) have an unloaded quality factor (Q) on the order of 109. The high Q results in a very narrow bandwidth, so small perturbations in the cavity’s resonant frequency result in large changes in the mag- nitude and phase of its electric field for a fixed frequency driving signal. As a result, it is necessary to use a controller that can compensate for perturbations as they occur. This project develops a new digital low-level radio frequency (LLRF) controller for this application, including DSP-based hardware, software algorithms, and a graphical user interface. The digital LLRF controller provides two types of c0ntrol over cavity pertur- bations. The LLRF implements a PID control on the cavity driving signal to compensate for nonlinearities and small random disturbances. Significant cavity detuning caused by narrow-band vibrations, referred to as “microphonics,” are reduced by the LLRF controller using a piezoelectric tuner controlled by an adaptive feedforward cancellation algorithm. The completed prototype is a small, standalone, economically viable, ethemet con- nected controller. Through a MATLAB interface, it will allow advanced algorithm devel- opment by control engineers who are not necessarily familiar with the low-level workings of the controller. ACKNOWLEDGMENTS I would like to thank my advisor Dr. Xiaobo Tan and Dr. John Vincent of the National Superconducting Cyclotron Laboratory (NSCL) for giving me the opportunity to work on this project. I would also like to thank everyone in the electronics department at the N SCL for their help. Without help from Mark Davis and John Priller, I would not have been able to develop the network interface and graphical front-end. Adam Molzahn laid the groundwork for this project with his digital phase meter and introduced me to the fundamentals of how the system works. Without Adam’s work to build upon and the help and guidance of the NSCL staff, I would not have been able to complete this project. iii TABLE OF CONTENTS ABSTRACT ....................................... ii ACKNOWLEDGMENTS ................................ iii LIST OF TABLES ................................... vi LIST OF FIGURES ................................... vii 1 Introduction ..................................... l 2 Signal Digitization and Interlocks .......................... 9 2.1 Collecting Input Samples ........................... 9 2.2 CORDIC Algorithm ..... _ ......................... 12 2.3 DSP Interface ................................. 15 2.4 RF Output Creation .............................. 18 2.5 Interlocks ................................... l9 3 Tasks Performed by the Digital Signal Processor .................. 21 3.1 DSP Peripherals ................................ 21 3.2 Control Program Overview .......................... 22 3.3 Data Received From Host Processor ..................... 23 3.4 Control Thread ................................ 24 3.5 Status Thread ................................. 25 3.6 Software Interrupts .............................. 26 4 Control ........................................ 28 4.1 RF Amplitude and Phase Control ....................... 28 4.2 Cavity Tuning ................................. 29 5 The ZWorld RabbitCore Host Processor and Graphical User Interface ....... 32 5.1 Module Initialization ............................. 32 5.2 User Interface ................................. 33 5.3 Main Screen .................................. 34 5.4 PID Screen .................................. 36 5.5 AFC Screens ................................. 37 5.6 Startup Screen ................................. 40 5.7 Advanced Screen ............................... 40 6 Testing and Performance ............................... 42 6.1 Cavity Simulation Circuit ........................... 42 iv 7 Conclusion and Future Work ............................ 50 7.1 Conclusion .................................. 50 7.2 Required Changes ............................... 51 7.3 Future Hardware Upgrades ................. g ......... 52 7.4 Future Software Upgrades .......................... 53 A FPGA Implementation of a CORDIC Algorithm .................. 55 B DSP Daughter Board Schematics .......................... 59 BIBLIOGRAPHY .................................... 7 l 2.1 2.2 3.1 LIST OF TABLES CORDIC algorithm example .......................... 15 Description of FPGA memory locations .................... 16 Responses from the DSP are received by the host two words after the com- mand was transmitted. ............................ 24 1.1 1.2 1.3 1.4 2.1 2.2 2.3 4.1 4.2 5.1 5.2 5.3 5.4 5.5 5.6 6.1 LIST OF FIGURES Overview of the LLRF controller as it will be connected to an SRF cavity. The LLRF controller consists of everything inside of the dashed lines. . . . LLRF controller motherboard. DSP daughter board is attached on the lower right corner. Host processor board attaches to back side. Front side contains the reference phase-locked loop and all input signal conditioning compo- nents. Back side contains the high-speed ADCs and DAC, FPGA, and output signal conditioning components. ................... DSP daughter board. DSP is underneath the large black heat sink. ..... ZWorld RabbitCore daughter board. ..................... Sampling a 50 MHz signal at 40 MSPS produces a 10 MHz alias whose phase is measured relative to the phase of the 10 MHz reference signal. DAC output spectrum when producing output at 40 MSPS (left) and IF after 50 MHz band-pass filter (right). .................... DAC output. spectrum when producing output at 80 MSPS (left) and IF after 50 MHz band-pass filter (right). .................... Block diagram of PID control. The cavity behaves linearly when operat- ing near its resonant frequency, so it can be approximated by the transfer function, G(s). ................................ Adaptive feedforward cancellation control for the cancellation of a single sinusoidal disturbance [22]. ......................... Main controller screen. ............................ PID tuning screen. .............................. AFC screen. ................................. FFI‘ screen shows FFI‘ of cavity output in dBm, cavity magnitude response, and cavity phase response in degrees. All plots show 0 to 1000 Hz linear scale. ..................................... Startup screen. ................................ Advanced screen. ............................... Block diagram of circuit used for cavity simulation. The two transfer func- tions are replaceable RC networks. ..................... vii 6 ll 41 6.2 Each of the transfer function blocks is made up of a replaceable RC net- work. ..................................... 44 6.3 Ideal magnitude response (top) and magnitude response calculated by LLRF controller (bottom). .......................... 46 6.4 Ideal phase response (top) and phase response calculated by LLRF con- troller (bottom). ............................... 47 6.5 FFT of RF input with 60 Hz sinusoidal disturbance. Because the magnitude of the disturbance is large, its third harmonic is also present. ........ 49 B.1 System Overview. .............................. 60 B.2 Voltage regulation and clock generation. .................. 61 B.3 DSP power connections. ........................... 62 B.4 DSP bypass capacitors, UTOPIA port, HPI port and reserved pins. ..... 63 B.5 DSP serial connections. ........................... 64 B.6 DSP JTAG emulation port and general purpose port. ............ 65 B.7 DSP external memory interface A. ...................... 66 B.8 External SDRAM. .............................. 67 B.9 DSP external memory interface B. ...................... 68 B. 10 External non-volatile memory and FPGA connection. ........... 69 viii CHAPTER 1 Introduction The desire to do further research into particle physics has driven a demand for new high- energy accelerators. The reduced surface resistances of superconducting cavities make them capable of supporting high fields with low power losses. The quality factor (Q) of a cavity of given geometry is an indicator of how high a field it can achieve. While cavities with high quality factors can achieve higher internal fields, this comes at the expense of narrower bandwidth. The very narrow bandwidth associated with high Q cavities makes maintaining amplitude and phase control in the presence of perturbations increasingly dif- ficult [1]. Feedback control is required to maintain a stable cavity field in the presence of a distur- bance. One can apply a PID control to maintain the amplitude and phase of the cavity field at a given set point. As perturbations shift the cavity’s resonant frequency away from the RF driving frequency, the low-level radio frequency (LLRF) controller measures the error in the cavity field and compensates. Although this method works well for small perturba— tions, it will not work for large perturbations, since the required input power to maintain the cavity field will be too high. Therefore, for high-Q superconducting cavities, it is nec- essary to have an active tuning mechanism to cancel the disturbances to keep the driving frequency within the cavity’s bandwidth. A properly designed continuous wave system will only have limited narrowband si- nusoidal disturbances due to vibrations (microphonics). Simrock et a1. were the first to demonstrate using a piezoelectric actuator to cancel these disturbances [2]. Due to the com- plex transfer functions of multi-cell superconducting cavities, a feedback control would be exceedingly difficult to implement. However, Kandil et al. demonstrated an adaptive'feed— forward cancellation (AFC) algorithm capable of canceling narrow-band vibrations in a multi-cell cavity using a dSpace development board connected to a PC [3]. Although the AFC algorithm was shown to work, the implementation required a PC and several other pieces of equipment to control a single cavity. Therefore, it was not practical for use in a running linear accelerator, which will have many cavities that need to be controlled. The control needs to be implemented on a low-level radio frequency (LLRF) controller to be useful, which is the subject of this work. The LLRF controller will use the AFC algorithm to attenuate cavity detuning from significant narrow-band disturbances, and Use a PID con- trol to continuously adjust the amplitude and phase of the RF driving signal to compensate for other disturbances. Digital LLRF controllers are currently being designed at several accelerator labs to replace analog controls. Implementing controls in the digital domain reduces the size and cost of the hardware and allows the implementation of controls that are not feasible on analog systems [9]. Most of the new LLRF controllers being developed for applications that do not require a lot of computing power rely on a field programmable gate array (FPGA) to perform the RF measurements and control algorithms. The controllers being developed for the Spallation Neutron Source (SNS) accumulator ring [5] and International Linear \ Collider (ILC) [6] are both based on FPGAs. For applications that require more processing power, an FPGA with an embedded processor is commonly used [7]. Although some of the other LLRF controller designs provide a lot of the functionality required for the linear reaccelerator upgrade to the N SCL and could possibly be adapted if necessary, they do not meet all of the requirements for the reaccelerator upgrade. The problem with either of these designs is that upgrading the software for the system requires extensive knowledge of the LLRF controller and experience with FPGA programming. One of the requirements for the LLRF controller for the NSCL is that it must be possible for control engineers to update the software controls using tools they are familiar with, without needing to know the details about how the hardware is set up. Other requirements are that the LLRF controller must be completely standalone, requiring only a network connection to communicate with the EPICS control system [8], it needs to provide tuner outputs for microphonics mitigation, - and it needs to have a user-friendly interface for setup and monitoring. Figure 1.1 shows an overview of the LLRF controller developed here, which was in- spired by the LLRF controller designed by Lawrence Berkeley National Laboratory [9]. The LLRF controller consists of a motherboard (Figure 1.2), a DSP daughter board (Fig- ure 1.3), and a control host board (Figure 1.4). The input signal conditioning portion of the motherboard is unchanged from the previous iteration of the project: the digital phase meter developed by Adam Molzahn [10]. However, there have been major changes to the digital sections. The biggest change is that a high-performance DSP has been added to the controller. All of the digital processing is now done by the DSP, so all data is sent from the FPGA directly to the DSP instead of the host processor. The DSP runs the PID based amplitude and phase control algorithms and feedforward based microphonics mitigation algorithms and sends data to the control host processor as necessary. This frees up enough Stable RF Stable RF l j Referencqs) Reference Reference(s) ‘ . . . , ‘ Dig“ Distribution 1 Tanziagt'Etc. H. . . l l ________________ ; _ _ _ _ - it?” . ____ 8 2. "7399‘?! 31'8““ Di ital Anal 5 g? DSP 9 We 09 . l: b Reference RF : I; 5 FPGA W : we s ..... i—mz. 5 Control IF f gTuner : Host CM” CO"! 4 E 1:: Test Points E I """"""""""""" {""iwmpimeocomroi I J Resonance Control ' Md #1 SbwTuner Interface Figure 1.1. Overview of the LLRF controller as it will be connected to an SRF cavity. The LLRF controller consists of everything inside of the dashed lines. FPGA logic to allow it to perform a 16-bit coordinate rotation digital computer (CORDIC) algorithm [11] on all incoming channels to obtain their phase and magnitude information. The parallel nature of the FPGA makes it the ideal place for doing the phase and amplitude conversions, since it can do all input channels simultaneously without slowing down the rest of the system. By converting all input channels simultaneously, we guarantee that a read of all input channels returns amplitude and phase information taken at the same sample time. There are five RF inputs on the front of the controller module. One is a reference signal (10 MHz), used to control the sample clock for the high-speed analog-to-digital converters Figure 1.2. LLRF controller motherboard. DSP daughter board is attached on the lower right comer. Host processor board attaches to back side. Front side contains the reference phase-locked loop and all input signal conditioning components. Back side contains the high-speed ADCs and DAC, FPGA, and output signal conditioning components. -- _- up.) J'O,J¢|)00‘JUJ_ , A!_9.),n') )UQ'JJJJ-I - ;- ;- .i’v -- -.Q :: -- ;- .- ::o -: Figure 1.3. DSP daughter board. DSP is underneath the large black heat sink. Figure 1.4. ZWorld RabbitCore daughter board. (ADCs) used to digitize the inputs and to synchronize multiple modules when they are implemented together in an accelerator. Three of the RF inputs are the input signals to be measured (forward power, reflected power, and cavity output). Depending on mixer and filter parts selection when assembling the modules, the inputs can be between 2 MHz and 1.5 GHz, with power levels from -7 dBm to +33 dBm. However, there are some frequencies within that range that will not work well due to interactions of the harmonics that may be present within the intermediate frequency. The final RF input is the local oscillator (LO) input, which-must be at a frequency 50 MHz higher or lower than the RF input frequency and phase-locked to the reference signal. The LO signal is mixed with each RF input to convert them to the 50 MHz interrfiediate frequency (IF) for sampling. The fixed 50 MHz intermediate frequency is used, because it is easier to digitize a signal with a fixed, known frequency. An ideal mixer produces frequencies which are the sum and difference of its two input signals as shown in Equation (1.3). Since the LO signal is chosen 50 MHz higher or lower than the RF frequency, one of the components of the mixer output is at 50 MHz, and the other is filtered out using a 50 MHz band pass filter. Also, since the L0 is phase-locked to the reference signal, 92 is fixed and can be calibrated out. Therefore, phase changes in the RF input directly affect the phase of the IF signal. RF=A|sin(u)1t+01) (1.1) L0 =A2sin(0)2t+02) (1.2) A1142 A1A RFai>> ctr)) (A12 + (AQ2 >>> ctr)); assign A03 = AQZ[15] ? (A02 + (A12 >> ctr)) : (A02 - (A12 >> ctr)); // Stages 2— 16 depend on the sign of the intermediate phase value assign 013=0p2[15] ? (012 + (OQ2 >>> ctr)) (012 - (002 >>> ctr)); assign 003 = 0p2[15] ? (OQ2 — (012 >>> ctr)) (002 + (012 >>> ctr)); always @(posedge clk400ut) begin ctr <= ctr + 1; // First stage: shift input +90 or -90 degrees // First stage occurs when counter = -1 if (&Ctr) begin 6 A12 <= A11; AQZ <= AQl; Ap2 <= AQ[15] ? lutn : lut; OIZ <= l6’h0000; OQ2 <= 001; Op2 <= Op[15] ? (Op + lut) : (Op + lutn); // Store completed conversion values from last clock cycle Ap <= Ap2; Aa <= A12; // Store completed conversion values from last clock cycle 01 <= 012; 57 00 <= 002; end // stage 2—16: perform shift and update intermediate registers else begin A12 <= AI3; A02 <= A03; Ap2 <= A02[15] ? (Ap2 + lutn) : (Ap2 + lut); 012 <= 013; 002 <= 003; Op2 <= Op2[15] ? (Op2 + lut) : (Op2 + lutn); end I end 58 APPENDIX B DSP Daughter Board Schematics 59 Jl ROM/FPGA Interface Sheet4.SchDoc BAI 8A2 8A3 BA4 BAS R/~W BDO BDl BD2 BD3 BD4 BDS BD6 BD7 BD8 BD9 BOOT_PAGEO C 8010 BOOT_PAGEI C C BDl l PROG_PAGE BDlZ BDl3 BDl4 BDlS ~BCEO l O ~RESET Power/Clocks ~ Sheet] .SchDoc 5 NM] ~RESETAECLKIN D ”S 1 SW- SPS'li‘ DSP GPIO/Serial Sheet3.SchDoc ....____..._._1 D scum PROG_PAGE D— on BOOT_PAGEI :> DRO BOOT_PAGEO D DSPEN :) CLK40 DSPGPlv DSPGP2 DSPGP3 DSPGP4 _ FASTI'UNE [ :> LOCKED SLOWTUNE __ Figure B. 1. System Overview. 60 DSP Power Sheet2.SchDoc _ _ ___ ,,._1 SDRAM Sheet5.SchDoc AECLKIN EL__ l —' 2 —_> 3 1F—' 4 Beader4 52230835 L; 8 r58. 32:. % n52 SE 8 A afloat ado— who E l 3.: 75:0 , Snows—.6 Sz , EQOSUEO Hummxt , 8< "=52 — , Amn— 3 m N .l a: men. 32.2» v c .wmmnw nzo T J :0 g. , 0m 2w I 7 o I DE. own I I 7 I abo> E m l £39 25 I $5255 25 _ 1 a: use on>n 22.2 v :AS _ So as E 23 , _ _ NNN . . l. 303 NW? Figure B.2. Voltage regulation and clock generation. 61 TMSSZOCC DVDD IAL_ A2 1 DSPGND A25 A 31 AI3 6”” 314 33 GND GND GND GND B26 313 37 324 GND GND 33 oz GND GND 310 04 GND 6"” 317 C23 GND GND 319 (:25 GND CM) 320 33 GND GND 33 35 GND GND GND GND F9 D22 312 324 GND GND F15 34 GND GND F18 36 GND 6”” GS 39 GND GND 022 E18 0”” GND GND GND 115 321 1122 323 GND ON” 121 F5 GND GND l6 F8 GND 0"” K22 310 GND GND L5 311 GND GND M5 F13 GND GND GND GND M6 F14 M21 F16 GND GND N2 F17 0”” GND GND GND P25 F19 GND GND 35 F22 ND 321 G9 G GND T5 (112 GND GND us 015 GND 0"” U22 GIS GND GND V6 111 GND GND v21 H6 0"” GND GND GND ws 1121 W22 H26 GND 0"” Y5 15 GND Gm) v22 GND GND 17 GND GND AA9 :20 AA1 12 GND GND M1 K21 GND GND . GND GND AA1 .1 L6 GND GND A37 1.21 GND GND A38 M7 A31 M20 GND GND GND GND A31 N6 GND GND A319 N21 GND GND A320 N25 A31 32 GND GND AEI3 vv G ,, "D _ 7 G "D A326 TMS320€6414T AF2 AF25 1414mm TMSS20C6414TGLZ Figure B.3. DSP power connections. 62 i=3. 11.11... 1T. 1T... 1:1,: 3.? C66 T.luF J. C65 T1113 J. lOOuF C8 .1. ’1‘ C7 l _L noour’l‘ C6 v ..I. T UlE C5 _L ’1‘ 1 c4 lOOuF r 'szTPT I .1. ’F1 v ‘7 4 AA {4 {l M 5 J M mmmmmmmmmmmc “Em. flay V I DSP HPI / RSV(PCI) UlD ~HINT HCNTL l HCN'I'LO RSV (PCI 63 10K Figure B.4. DSP bypass capacitors, UTOPIA port, HPI port and reserved pins. TMS320C6414TGLZ .E Awlf... .mU .08 .58 n 66939.: ".....Lraé 1 _L.|IL F. ...... v z? n a .H 02 .. m>+ . “E .2. _ 1..“ H1 50> 1 959 NS 361.1 H 8m» H e ".553 :2 as "E. _ ".2: _. 2... God! 80”.“ 1 H 83 Q20 . E Figure B.5. DSP serial connections. Dpr UIG _‘ 12 , ,7 DSPJTAG 1 2 1— TMS 43119 3 4 'I'DO __. 5 6 l— AF18 TDI 1 AFI6 ‘ 7 8 TCK fl 9 10 ~TRST m— 11 12 EMU“ Tfil-S- —1 l3 l4 — EMUIO AE18 JTAGl-Ieader EMU9 ACI7 EMU8 AF17 EMU7 ADI7 EMU6 AE17 0R” EMUS ‘: AC16 lK EMU4 ADI6 EMU3 AE16 EMUZ —— ACIS EMUI AFlS EMUO W‘s’szocmmrotz .;Rll :‘lK Ufl,, ,77 DSP GPlO GPO GP15 om GPI4 GP2 (1313 GP3 0312 GP“ R27 , 7 7 7 7 7 ———~w———l, MJAQED 33 ‘ R28 77 ,7 , —33-—{ 8970T7PAG739> R29 7,, , 77, jam—i XRQQflQE> G3 F2 34 __.3 ,2 ,:R24 ,:R25 ,:R26 :03 :‘lOK 1’10K :‘lOK AE4 Figure B.6. DSP JTAG emulation port and general purpose port. 65 Ull AF24 “D63 DSP 3MIFA AFB A3362 AED61 A3360 A3359 A3358 fig} A3357 :AC2I . A3356 Am A3355 AECLKOU’I‘Z A320 A3354 A520 A3353 AC20 A3352 M20 A3351 AC19 A3350 —"AD19 . A3349 “/24 A3348 w23 A3347 Y26 A3346 Y23 ' A3345 Y25 22g: Y24 M26 531332 AECLKOUTI mg: A3340 M24 A3339 A326 AED38 A324 A3337 A325 A3336 ACZS A3335 3.26 13:: 53—26 A3332 A331 0 A, 8 ..6 32330 "1 '1 326 AED“ XW'WA" 1 325 “D30 A328 : V" 1 325 “in” — AA A3328 5327 _. "" 4 324_ A3327 5326 . A, . 321 “D26 A325 5 I}, . 324 A3325 5323 1 “AV,“ 1 323 AED23 5321m—Ivv»—‘ G24 A3321 5320 . A, : GZS A3320 5319 . 3:, 4 623 “D19 A)I8 . G26 K3177 *‘N‘ . 1123 £33 5316 _1_,,,," 1124 A3316 A31“ 11," 319 A3315 A3141 III 319 A3314 A31: """ 1 A20 A3313 A31: . ...... i 320 A3312 531 ‘ :*:* ‘ 320 A3311 £3910: vl‘v‘r: g? A3310 ‘ AN» ‘ A339 A38 1 ”A 321 vvv AED8 fifsnoéaindfz " ’ Figure B.7. DSP external memory interface A. 66 7375—4 ~cs vvo — m ~WE VDD *3 W ~CAS VD” ‘1 m “3 VD” — m DQM3 “390 — m DOW VDDQ —__ m 901‘“ “’90 *1 m DQMO VDDQ '7'. W17— CL“ VDDQ ‘1' 4 c1<3 vagg ——:= —— NC —‘ .6 1 $311) :7 DQ“ VDDQ —:i1 —A329 1 — DQ30 NC —‘=— A328 3 _ D029 NC —:'—AA1 —AD27 ;— DQ28 BAl - AA‘ M6 ; — DQ27 BAO AA ; A325 2 — 3Q26 A11 ‘ AA A324 2 ‘ DQ25 A") 1 AA: AD23 A _ DQ24 A9 ‘ 1111 A322 2 “ DQ23 A8 2 AA A321 ‘ ‘3sz A7 ‘ A111 A320 —1 3Q21 A6 : M AD19 73— ‘3on A5 1121 A318 31— DQ19 A4 60 mfi' A317 3— ”Q's A3 :‘7 A1E' A316 1 D0” A2 1 AN A315 85 m“ A' : Am 14 15 A0 ‘ ~ A313 82 DQl4 NC —. — A312 80 DQ13 NC —.-— A311 79 DQ” NC "17— A310 77 DQll vsso —-. A39 76— Dow vsso — Am 74 DQ9 vsso —: AD7 1 DQ8 vsso —‘ Am 1— DQ7 vsso —‘ A35 1— 3Q6 vsso H A34 — 305 VSSQ F1 AD3 , 3Q4 vsso —‘ A32 ‘ 3Q3 vss —; A31 4— DQ2 vss --: A30 ,— DQI vss ‘ DQO vss MT48L01M3232TG-6 Figure B.8. External SDRAM. 67 ommm 3am 5mm 2mm 3mm Qmm 8mm v m3... . 3 “MW 2 _ 00> mm> _ N N _ mm> BE: _ t. . 2203 mm> . 02 00> . 395 N