STUDY OF FLASH LAMP ANNEALING TO PROMOTE CRYSTALLIZATION OF INDIUM TIN OXIDE THIN FILMS By Ethan Neitzke A THESIS Submitted to Michigan State University in partial fulfillment of the requirements for the degree of Electrical and Computer Engineering—Master of Science 2024 ABSTRACT The use of flash lamp annealing as a low-temperature alternative or supplement to thermal annealing is investigated. Flash lamp annealing and thermal annealing were conducted on 100 nm thick indium tin oxide (ITO) films deposited on glass to compare the films' properties under different annealing methods. The ITO samples had an initial sheet resistance on average of 50 Ω/sq. After flash lamp annealing only the sheet resistance was reduced to 33 Ω/sq, while by thermal annealing at 210°C for 30 minutes a sheet resistance of 29 Ω/sq was achieved. Using a combination of flash lamp annealing and thermal annealing at 155°C for 5 minutes a sheet resistance of 29 Ω/sq was achieved. X-ray diffraction (XRD) analysis confirmed that flash lamp annealing can be used to crystallize ITO. Flash lamp annealing allows for the low-temperature crystallization of ITO on a time scale of seconds. Through electrical and optical characterization, it was determined that flash lamp annealing can achieve similar electrical and optical properties as thermal annealing. Flash lamp offers a method of low-temperature annealing which is particularly suitable for temperature-sensitive substrates. ACKNOWLEDGMENTS I would like to thank Dr. Qi Hau Fan for taking me on as an undergraduate research assistant and giving me the opportunity to work with him as a graduate student. Thanks to Dr. Maheshwar Shrestha for being available to answer questions and assist with the vacuum system. I would also like to thank my committee members Dr. Tim Hogan and Dr. Wen Li for their constructive feedback. Thanks to Thanh Tran for helping me with SEM measurements. Thanks to my fellow group mates Thanh, Ming, William, and Valentina for making a friendly and open work environment. Thanks to Ahsan Talukder for training me on how to use the physical vapor deposition. I would also like to thank Dr. Leo Kempel for giving me guidance on my career and academic endeavors. Dr. David Stevenson for teaching me how to use the NovaCentrix Flash Lamp system and being available for questions. Lastly, I would like to acknowledge my friends and family for supporting me during these last few years. iii TABLE OF CONTENTS LIST OF SYMBOLS .................................................................................................................... v LIST OF ABBREVIATIONS ................................................................................................... viii Chapter 1: Introduction ............................................................................................................... 1 Chapter 2: Theory ........................................................................................................................ 6 Chapter 3: Experimental Procedures ....................................................................................... 37 Chapter 4: Results and Analysis ................................................................................................ 39 Chapter 5: Summary and Conclusion ...................................................................................... 55 BIBLIOGRAPHY ....................................................................................................................... 56 iv LIST OF SYMBOLS Magnetic field vector Capacitance Electric Field vector Hall effect field Conduction band minima energy Fermi energy level Intrinsic energy level Valance band maxima energy Energy delivered to flash lamp Inductance Effective density of states in conduction band Effective density of states in valance band Electrical power density in flash lamp Total optical power density emitted by the lamps Sheet resistance Hall coefficient Volumetric heat source term Initial temperature at film surface Ambient temperature above the film Ambient temperature below the substrate Charging voltage Specific heat at constant pressure 𝐵(cid:4652)⃗ C 𝐸(cid:4652)⃗ 𝐸(cid:3009) 𝐸(cid:3030) 𝐸(cid:3033) 𝐸(cid:3036) 𝐸(cid:3049) 𝐸(cid:2868) L 𝑁(cid:3030) 𝑁(cid:3049) (cid:3032) 𝑃(cid:3046)(cid:3048)(cid:3045)(cid:3045) (cid:3042) 𝑃(cid:3046)(cid:3048)(cid:3045)(cid:3045) 𝑅(cid:3046) 𝑅(cid:3009) S 𝑇(cid:2868) 𝑇(cid:2998),(cid:2868) 𝑇(cid:2998),(cid:3013) 𝑉(cid:2868) 𝑐(cid:3043), 𝑐(cid:3036) v d 𝑒 ℎ(cid:2998),(cid:2868) ℎ(cid:2998),(cid:3013) 𝑘(cid:3029) 𝑚(cid:3032) 𝑛 Interatomic spacing Charge of electron Convective heat transfer coefficient above the film Convective heat transfer coefficient below the substrate Boltzmann’s Constant Mass of electron Concentration of electrons or order of reflection 𝑛(cid:3028)(cid:3045)(cid:3030) Density of plasma 𝑛(cid:3036) 𝑛(cid:2868) 𝑝 𝑝(cid:2868) (cid:4593)(cid:4593)(𝑡) 𝑞(cid:3043) (cid:4593)(cid:4593)(𝑡) 𝑞(cid:2868) (cid:4593)(cid:4593)(𝑡) 𝑞(cid:3013) 𝑟(cid:3035) t 𝑣⃗ 𝛽 𝜀 𝜅, 𝜅(cid:3036) 𝜆 𝜆(cid:3032) Intrinsic carrier concentration Equilibrium concentration of electrons Concentration of holes Equilibrium concentration of holes Heat flux due to pulse Heat flux at x = 0 Heat flux at x = L Hall factor Film thickness Velocity vector Full width at half maximum in radians Emissivity Thermal conductivity Wavelength Mean free path of electrons vi 𝜆(cid:3041)(cid:3040) Wavelength in nm 𝜇(cid:3032) 𝜇(cid:3035) 𝑣(cid:3047)(cid:3035) 𝜌, 𝜌(cid:3036) 𝜌(cid:3045)(cid:3032)(cid:3046) 𝜎 𝜎(cid:3029) 𝜎(cid:3034) 𝜏(cid:3033), 𝜏(cid:3036), 𝜏(cid:3046) 𝜏(cid:2868) Electron mobility Hole Mobility Thermal velocity Density Resistivity Conductivity Stefan-Boltzmann constant Cross section Time it takes to reach thermal equilibrium for object (f-film, i-generic, s-substrate) Characteristic time constant vii LIST OF ABBREVIATIONS AZO Aluminum doped zinc oxide CB EM Conduction band Electromagnetic FLA Flash lamp annealing FWHM Full width at half maximum FTO GZO IPL ITO LA NIR Fluorine doped tin oxide Gallium doped zinc oxide Intense pulsed light annealing Indium tin oxide Laser annealing Near infrared OLED Organic light emitting diode PEN PET PLD PVD RT RTA R2R SEM TA TCO Polyethylene naphtholate Polyethylene terephthalate Pulsed laser deposition Physical vapor deposition Room temperature Rapid thermal annealing Roll-to-roll Scanning electron microscopy Thermal annealing Transparent conducting oxide viii TFT UV VB XRD 4PP Thin film transistor Ultraviolet Valance band X-ray diffraction 4-point probe ix Chapter 1: Introduction 1.1 Background Thin films are used in a multitude of industries to improve the chemical, physical, electronic, and optical properties of materials for a variety of applications. Thin films are used as tool coatings to improve wear resistance, on displays to protect from scratches, on automobile windows to reduce reflections, to deposit the various layers to make semiconductor devices. One class of important thin films are transparent conductive oxides (TCO). TCOs have opened the doors for many critical technologies such as solar cells, displays, anti-reflective coatings and other optoelectronic applications [1]. Indium tin oxide (ITO) is one such TCO that has been used extensively for solar cells, opto-electronic devices, flexible electronics, LEDs, displays, etc. [2-5]. The TCO market is expected to grow significantly over the next decade due to their use in displays for electronic devices and solar cells; the global market for TCOs was USD 475.5 million in 2022 and is expected to grow to USD 1,211 million by 2028 and USD 1,934 million by 2031 [6,7]. The ever-growing demand for sustainable energy has put significant pressure on the solar industry to produce more solar cells and those of higher quality [8]. Solar cells are a renewable energy source which harvest light to generate electricity; as such, light must reach these devices which make TCO an integral part. TCOs are used as transparent electrodes on solar cells so they must have high transmittance and good electrical conductivity so they can collect charge carriers; the topside of the film also plays an important role as an anti-reflective film [8]. Many different TCOs have been used for production of solar cells such as fluorine doped tin oxide (FTO), gallium of aluminum doped zinc oxide (GZO and AZO), and tin doped indium oxide (ITO) [8]. These TCO films have high peak transmittance (>80%) in the visible spectrum range with excellent electrical conductivity (10(cid:2872) S/cm) [8]. 1 In addition to solar cells there is also a growing desire for TCO for use in various electronics applications such as for transparent electrodes for organic light emitting diodes (OLEDS), plasma display panels, heat reflecting windows, ohmic contacts for surface emitting diodes and for a variety of polymer/flexible electronic applications [9]. Some such polymer/flexible applications include foldable/rollable displays, RFID tags, solar cells, sensors, smart cards and thin film transistors (TFT) [10-14]. Polymer substrates are desired for multiple reasons including their flexibility, lower cost, and reduced weight [13]. Some polymers of interest particularly for transparent flexible electronics are polyethylene terephthalate (PET) and polyethylene naphtholate (PEN) [14]. To make devices on polymer substrates, the films must have good transmittance (for optoelectronic devices) and low resistance; the problem with polymer substrates is that they often cannot withstand the high temperatures needed to grow high-quality TCO films [12]. For example, PET has good thermal resistance up to only 150°C [14]. Furthermore, these polymers have large thermal expansion coefficient. When they are used as substrates for TCO deposition, the large thermal stress can cause the film delamination and cracking as the sample cools down from the high deposition temperatures [12]. ITO is one of the most widely used materials for solar cells and flexible electronics for its low resistivity (1.4 − 5.6 × 10(cid:2879)(cid:2872) Ωcm(cid:2879)(cid:2869)) [15,16] and high transmittance (80-85%) [15,17]. There are various ways in which ITO films are produced including chemical vapor deposition (CVD), direct current (DC) and radio frequency (RF) magnetron sputtering, evaporation, spray pyrolysis, and pulsed laser deposition [18, 19]. All the deposition methods for ITO require an elevated temperature ranging from 300 – 500°C in order to crystallize the material and produce a high- quality film. An alternative to depositing the material at an elevated temperature is to deposit at room temperature then thermally anneal the substrate post process in order to crystallize the film 2 [3, 18-20]. The high temperature needed to produce quality ITO films leads to higher costs and limits the potential substrates that can be used. Given the desire for flexible electronics that require ITO deposition on polymer substrates [3, 20, 21], methods to produce high quality ITO thin films at low temperatures are needed. Thermal annealing (TA) post deposition has been the most common treatment to achieve the desired optical and electrical properties for ITO films [20]. Some groups have studied ultra-short laser annealing as an alternative to crystallize ITO films on flexible polymer substrates [18]. Another possibility for low temperature processing is flash lamp annealing (FLA), also known as intense pulsed light annealing (IPL), photonic curing, or photonic sintering [2]. FLA has been around for many years and been used in the semiconductor and solar cell industry as well as for the processing of printed electronics [2, 22-24]. FLA has been of interest in the solar cell and printed circuit industry due to its short processing time and ability to be used for thermally sensitive devices [2, 22]. Schube et al. were able to use IPL to reduce the post-metallization annealing time of silicon heterojunction solar cells from minutes to seconds [25]. There are several published works on using flash lamp to anneal/crystallize ITO thin films [26, 27]. Kim et al. focused on how the FLA parameters affect the ITO film properties in [26] and on the process of FLA and how to improve absorption in the ITO film in [27]. In FLA an intense microsecond to millisecond pulse of electromagnetic radiation is exposed to the substrate. Xenon lamps are typically used for FLA which has most of its radiation in the ultraviolet to near-infrared regions, with the visible spectrum being the most intensive. During FLA operation, a capacitor bank is charged up to the desired voltage then discharged by the xenon lamp which delivers the energy to the substrate in the form of photons. The substrate absorbs the electromagnetic radiation, which is subsequently converted into heat [2]. The voltage of the 3 capacitor bank largely determines the energy density of the light that is delivered to the sample [22]. The duration, frequency, voltage, duty cycle and number of pulses can all be controlled to fine tune the energy transferred to the film. The intense light absorbed by the films causes significant short-lived temperature increases [22]. The light energy absorbed excites the electrons which interact with phonons that then disperse the energy throughout the lattice [22]. The pulse duration of the flash is typically under a millisecond so there is no significant time for the heat generated in the film to be transferred to the substrate [21]. To ensure the substrate does not get damaged during the FLA process, the discharge parameters can be adjusted to limit the heating of the substrate [21]. In [28] Wünscher et al. investigate different post-deposition sintering processes for production of flexible electronics. FLA is appealing for flexible electronics since it allows for targeted heating of the ink while leaving the substrate largely unaffected [28]. To ensure that FLA operates as a localized heating method and prevents damage to the substrate, it is crucial to have the pulse length much shorter than the time required for thermal equilibrium to be achieved between the ink layer and the bulk substrate [28]. In [24] Abbel et al. were able to show that FLA can work in roll-to- roll (R2R) applications. FLA has shown promise as a fast and low temperature annealing method which makes it appealing for many applications such as ITO film crystallization. Due to the foreshadowed market for TCOs and ITO, there is significant interest in producing films on flexible substrates which could make FLA indispensable thanks to its compatibility with R2R applications. 1.1 Motivation There is a need for low-temperature means to produce high-quality ITO films for use on temperature-sensitive substrates for use in solar cells and flexible electronics. 4 1.3 Objective The main objective of this research is to determine if FLA is a viable low-temperature method to promote the crystallization of ITO films. A secondary objective of this research is to determine if a sequential treatment of TA and FLA could produce films of higher quality than either method alone. 5 Chapter 2: Theory 2.1 Semiconductor Fundamentals There are three main classes of material based on their electrical characteristics: metals or conductors, insulators or dielectrics, and semiconductors. Insulators are materials in which it is very hard for electrons to move; the electrons are closely bound to the atoms in the lattice. Whereas in conductors, electrons are loosely bound and move with relative ease. Semiconductors lie somewhere between these two classes of materials. All materials have an energy band structure which consists of a valence band (VB) and a conduction band (CB). The bands are made up of the combination of all the energy levels of the atoms in the lattice. The VB is composed of the outermost filled energy level of the atoms. The energy band structure of a material plays a crucial role in determining the electrical properties of that material. The energy band diagrams of the three classes of material can be seen in Figure 2.1. Figure 2.1: Bandgap Structures of different material at 0K There are two charge carriers in materials electrons and holes; holes are absences of electrons in the material. While not a physical particle itself, holes play a crucial role in the electrical properties of materials. Holes can move throughout the lattice and as such affect the conductive properties of the medium. Electrons and holes in the VB are bound to the lattice and 6 are unable to move, while electrons in the CB are free to move. An electron in the VB can be excited to the CB where it is free to move and aids to the conductivity of the material. The gap between the two bands is referred to the band gap of the material; there are no energy levels in the bandgap. The (VB) and (CB) either fully or partially overlap for metals making it easy for electrons and holes to move. Insulators have a large bandgap (> 6 eV) which makes it very hard for electrons to go from the VB to the CB by thermal excitation. Semiconductors have a range of bandgaps which vary from material to material; typical band gaps are 0.6-2 eV for semiconductors like Si and GaAs while other semiconductors with bandgaps above 2 eV can be considered wide bandgap semiconductors like GaN. The various bandgap energies allow for the creation of a wide range of devices with different characteristics. In Figure 2.1 we can see the band structures for various materials at 0 K; for insulators and semiconductors at 0 K the VB is completely filled while the CB is empty. At 0 K metals are free to conduct under the influence of an electric field as their bands overlap; this is not true for semiconductors. Electrons need energy to move from the VB to the CB; this can come from thermal energy or from photons. At 0 K the electrons have no thermal energy so there are no electrons in the CB. For current to flow in a material there must be open states for electrons and holes to move to. Electrons must be excited to the CB in semiconductors before they are free to move under the influence of an electric field. As temperature increases above 0K more and more electrons can be found in the CB. The distribution of electrons across the available energy levels is described by Fermi-Dirac statistics. The probability that an electron will occupy the energy state E at a given temperature T is given by the Fermi-Dirac distribution function (equation 1) where 𝑘(cid:3029) is Boltzmann’s constant and is equal to 1.38065 × 10(cid:2879)(cid:2870)(cid:2871) J/K. 𝑓(𝐸) = 1 (cid:3006)(cid:2879)(cid:3006)(cid:3281) (cid:3038)(cid:3277)(cid:3021) 1 + 𝑒 (1) 7 In equation (1) we see the symbol 𝐸(cid:3007) which refers to the fermi level of the material; the fermi level is the energy level under thermodynamic equilibrium that has a 50% chance of being occupied. Equation (1) shows the probability that a certain energy state is occupied; to find the total number of electrons in the CB we compute an integral over all the states in the conduction band multiplied by the probability that that state is occupied. Equation (2) given the total number of charge carriers present in the CD where 𝑁(𝐸)𝑑𝐸 describes the density of states available to electrons [29]. The integral is computed over the region 𝐸(cid:3030) to ∞ to account for all energy levels in the conduction band where 𝐸(cid:3030) is the conduction band minima. (cid:2998) 𝑛(cid:2868) = (cid:3505) 𝑓(𝐸)𝑁(𝐸)𝑑𝐸 (2) (cid:3006)(cid:3278) Using equation (2) it is possible to get an expression for the equilibrium number of electrons (𝑛(cid:2868)) in the CB at a given temperature shown by equation (3) given the Fermi level is at least 4KbT away from the band edge; a similar expression is shown for the equilibrium concentration of holes (𝑝(cid:2868)) in equation (4) [29]. 𝑛(cid:2868) = 𝑁(cid:3004)𝑒(cid:2879) ((cid:3006)(cid:3252)(cid:2879)(cid:3006)(cid:3255)) (cid:3038)(cid:3021) (3) 𝑝(cid:2868) = 𝑁(cid:3049)𝑒(cid:2879) ((cid:3006)(cid:3255)(cid:2879)(cid:3006)(cid:3297)) (cid:3038)(cid:3021) (4) Where 𝑁(cid:3030) and 𝑁(cid:3023) are the effective density of states of the CB and VB respectively and 𝐸(cid:3049) is the energy of the valance band. The effective density of states for the CB is given by equation (5); a similar relationship can be shown for 𝑁(cid:3049). 𝑁(cid:3030) = 2 (cid:3436) ∗ 𝑘𝑇 2𝜋𝑚(cid:3041) ℎ(cid:2870) (cid:2871) (cid:2870) (cid:3440) (5) Equations (4) and (5) can be rewritten in terms of the intrinsic carrier concentration (𝑛(cid:3036)). The intrinsic carrier concentration is a defining characteristic of a perfect semiconductor which 8 contains no impurities or defects so that the number of electrons equals the number of holes (𝑛(cid:2868) = 𝑝(cid:2868) = 𝑛(cid:3036)) . 𝑛(cid:2868) = 𝑛(cid:3036)𝑒 (cid:3006)(cid:3255)(cid:2879)(cid:3006)(cid:3284) (cid:3038)(cid:3021) (6) 𝑝(cid:2868) = 𝑛(cid:3036)𝑒 (cid:3006)(cid:3284) (cid:2879)(cid:3006)(cid:3255) (cid:3038)(cid:3021) (7) 𝐸(cid:3036) is the intrinsic energy level which is where the fermi level is when 𝑛 = 𝑝, this would be a perfect semiconductor with no impurities. The properties of semiconductors are controlled through doping. Doping is the process of introducing impurities into the crystal lattice with the intention to alter the electrical properties of the material. Doping effectively changes the fermi energy level making it easier for electrons to conduct; impurities add new energy levels in which electrons or holes can reside. There are two types of semiconductors, n-type, and p-type; n-type semiconductors have had impurities added which raise their fermi level by adding additional electrons to the material. P-type semiconductors are doped with materials that collect electrons effectively lowering the fermi level. Figure 2.2 shows the band structure for intrinsic, n-type, and p-type semiconductors. Semiconductors can be either intrinsic or extrinsic; intrinsic are those in which no impurities have been added such as silicon (Si) and germanium (Ge). For intrinsic semiconductors there are no charge carriers available at 𝑇 = 0 𝐾. Intrinsic semiconductor Figure 2.2: Band diagram of (a) intrinsic semiconductor (b) n-type semiconductor (c) p-type semiconductor 9 carriers can be produced by thermal excitation or by photoexcitation. Extrinsic semiconductors are those that have had impurities intentionally added to increase the conductivity of the semiconductor. Impurities that add electrons are called donor and contribute to n-type conductivity. Impurities that leave behind holes in the lattice are called acceptors and they contribute to p-type conductivity. To get n-type conductivity an impurity must be added to the lattice that has more electrons than the base material; most semiconducting materials can be made into either n or p-type with the correct choice of impurity. Semiconductors can have a direct or an indirect bandgap seen in Figure 2.3; direct or indirect refers to the energy band structure of the semiconductor. For direct semiconductors the minimum of the CB lines up directly with the maximum of the VB, so the smallest amount of energy needed to go from VB to CB does not require any change in momentum of the electron. For an indirect semiconductor the bands do not align so an electron must change its momentum in order to transition between the bands. Another property of semiconductors is whether they are degenerate. For a nondegenerate semiconductor the number of impurity atoms is only a small percent of the total atomic density of the material. As impurities are added the fermi level increases or decreases. For n-type Figure 2.3: Band diagram of direct (a) and indirect (b) semiconductors 10 semiconductors if the impurity doping n becomes larger than 𝑁(cid:3030) then the fermi level will be inside the conduction band; when this occurs, the semiconductor is said to be degenerate. Degenerate semiconductors act like metals since their bands overlap [30]. The total number of charge carriers in a semiconductor is crucial to the electrical properties of the semiconductor. Electrons and holes present in the CB and VB respectively give rise to the conducting behavior of the material. The conductivity is given by equation (8). 𝜎 = 𝑒𝑛𝜇(cid:3032) + 𝑒𝑝𝜇(cid:3035) (8) where 𝜇(cid:3032) and 𝜇(cid:3035) are the electron and hole mobilities respectively and 𝑒 is the unit of fundamental charge and is equal to 1.602 × 10(cid:2879)(cid:2869)(cid:2877)𝐶. The electron mobility is given in equation (9). 𝜏 is the mean scattering time or relaxation time, 𝑚(cid:3032) is the effective mass of the electron. Many parameters go into determining the mean scattering time such as lattice vibrations, crystal imperfections and impurities [30]. As we can see in equation (8) the mobility and carrier concertation determine the conductivity of a semiconductor so understanding the mechanisms that limit n or 𝜇(cid:3032) are crucial. 2.2 Properties of ITO 𝜇(cid:3032) = 𝑒𝜏 𝑚(cid:3032) (9) ITO is a semiconductor that has been used in many applications such as contacts for heterojunction solar cells, anti-reflective coating, transparent anode in OLEDs, flat panel displays, TFTs and various other applications previously mentioned [1, 31-36]. ITO is used for many optoelectronic applications due to its ideal electrical and optical properties. ITO is indium oxide (In2O3) that has been heavily doped with tin (Sn); indium is replaced with tin in the lattice which makes ITO an n-type semiconductor. When the tin doping becomes very high the ITO becomes a degenerate semiconductor [9]. ITO has a body center cubic bixbyite structure which 11 forms when Sn+4 displaces In+3 in the lattice shown in Figure 2.4 [4,37,38]. The unintended oxygen vacancies also play a large role in the conductivity of ITO [4,9]. ITO has a high transmittance between 80-90% in the visible region due to its large bandgap which is generally reported between 3-4.2 eV [4, 9, 33, 36]. In [34, 39] they state that the crystallization temperature of ITO is around 150-160°C but often annealing or depositing at temperature above this is necessary to produce a film with the desired qualities [35]. The mobility of ITO is found in the range of 10-100 cm(cid:2870)/Vs [33]. The carrier concentration of ITO is typically on the order of 10(cid:2870)(cid:2869) [33]. The high carrier concentration and mobility make the conductivity of ITO high as can be calculated from equation (8). Figure 2.4: Representation of crystal structure of ITO to show main features that affect electrical properties 2.3 Deposition Techniques There are many different methods to deposit indium tin oxide thin films; each method has its benefits and its challenges. One thing all deposition methods share is that they either require deposition at elevated temperatures or require post annealing at high temperatures in order to produce the desired film properties. Depositing films at high temperatures requires more specialized and expensive equipment. Post deposition annealing offers a solution to deposition at room temperature but it requires extensive time, which is expensive for mass production. The 12 elevated temperatures required to produce quality ITO films also limit the substrates that can be used, which then limits potential applications. Here the various deposition techniques used to produce ITO thin films will be discussed to develop a thorough understanding of the current state of the technology and to motivate the need for a quicker and lower temperature annealing method such as FLA. As mentioned previously some such deposition techniques are DC and RF magnetron sputtering, electron beam evaporation, spray pyrolysis, and pulsed laser deposition; these methods will be discussed in more depth in the following section. Most of the methods mentioned above are physical vapor deposition (PVD) methods in which the material that is deposited is vaporized by an energetic source; this vapor then gets deposited on the surface of the substrate. It is possible to produce films with a low resistance at room temperature but these films often suffer from poor optical properties [15]. 2.3.1 DC and RF Sputtering In this research, the ITO thin films used were deposited by DC sputtering so this will be the focus of the discussion of deposition methods for ITO. DC or RF sputtering are PVD methods used to deposit thin films for a variety of applications such as in solar cells and semiconductor integrated circuits. All the deposition techniques to be described in the following section except spray pyrolysis utilize vacuum systems. In order to understand the various deposition systems a brief description of a typical vacuum system used for sputtering will be given. The main components are the same for all vacuum systems, there is the main chamber where the deposition occurs. This chamber is held under vacuum to avoid impurities and ensure the path of ions is uninterrupted; at atmospheric pressure the mean free path of particles would be very small so they would not be able to travel far which would make it difficult to deposit 13 materials. A roughing pump is used to pump the chamber down from atmospheric pressure to the 20-50 mTorr range. A turbo pump is required to achieve the high vacuum needed to allow for most depositions. The exact pressure required for different deposition methods varies but a base pressure around 1 × 10(cid:2879)(cid:2874) Torr or less is required. Turbo pumps are not capable of operating at atmospheric pressure which is why a roughing pump is first needed to lower the pressure to the operating range of the turbo pump. Sputtering relies on the physical bombardment of ions against the target material to vaporize atoms of the target material and deposit them on the substrate. To create the energetic species which cause the sputtering of atoms a plasma is ignited near the target. The plasma is created by flowing an inert gas like argon into the chamber and igniting it by applying a high voltage between the target electrode and the substrate. Collisions between the Ar atoms and energetic electrons cause the Ar atoms to become ionized. The Ar+ are accelerated towards the target by the electric field set up by the applied voltage; these argon ions sputter the target material onto the substrate. A magnet is often applied on the backside of the target to confine the plasma near the target which allows for higher sputter rates. A schematic drawing of a sputtering system can be seen in Figure 2.5. DC and RF magnetron sputtering are both common ways of depositing ITO films; DC is typically more common for production as deposition rates are faster than RF magnetron sputtering. For DC magnetron sputtering films are often deposited at room temperature (RT) but these films have poor electrical and optical properties. To produce crystalline films during DC sputter the substrate must be heated to a temperature of 250-350°C [9,40]. Samples deposited at room temperature (RT) by DC sputtered were found to be amorphous so post deposition annealing at temperatures of 300°C for 30 minutes [40] or at 400-600°C for 60 seconds using 14 rapid thermal annealing (RTA) [37] was required to produce films with the desired electrical and optical properties. In [9] they reported that films deposited by either DC or RF sputtering below 150°C were amorphous; the substrates had to be heated to 300-350°C to achieve desired film properties. [36, 41] report depositing films by RF sputtering at temperatures of 150-250°C to produce quality films, while samples deposited at RT require further annealing at 250°C. [19] suggest that films produced by RF sputtering at RT required annealing at a temperature of 200- 500°C for 2 hours for optoelectronic applications. [42] found that films deposited by RF sputtering have their resistance decrease with increasing the deposition temperature, depositing films up to 300°C. In [43] they deposited their films at room temperature and annealed the samples at 400°C for 1 hour or 500°C for thicker samples which required higher temperatures to reduce the resistance. So, for DC sputtering deposition or annealing temperatures of 250-600°C are needed to produce quality films while for RF sputtered films temperatures of 150-500°C are reported. Figure 2.5: Schematic drawing of a DC or RF sputtering System 15 2.3.2 Pulsed Laser Deposition Pulsed laser deposition (PLD) is a PVD technique in which a laser beam is used to vaporize the target material which is then deposited on the substrate. PLD has been around for many years and is used to deposit various films including ITO. Various parameters of the laser can be altered to change the deposition parameters; a typical laser used for PLD uses a pulse rate of 10-20 Hz with an energy density of 1-3 J/cm(cid:2870)[15-17], the laser is focused onto the target within the vacuum chamber by a lens. For PLD substrate rotation is necessary to achieve a uniform deposition [15]. The PLD process can be carried out at (RT) or by heating the substrate. In [15] samples were deposited at RT and at 200°C, the samples deposited at 200°C had much lower resistivity 5.35 × 10(cid:2879)(cid:2872) vs 1.75 × 10(cid:2879)(cid:2872) Ωcm(cid:2879)(cid:2869), further increasing the temperature decreases the resistance. For both films the transmittance was reported to be 85% in the visible region. The films deposited at RT were amorphous while those at 200°C started to see some crystalline structures. [15] reported that to achieve films with high transmittance and low resistance temperature in excess of 300°C were needed either during or after deposition. [16] similarly found that films deposited at RT by PLD were amorphous while those deposited at 200°C were crystalline; [17] reported crystalline films starting at 150°C. 2.3.3 Electron Beam Evaporation Electron beam evaporation (EBE) is another PVD method, similar to PLD but instead of a laser to vaporize the target material, a beam of energetic electrons is used. In EBE powders are mixed in a mortar and pestle and sintered at high temperature ≈ 800°𝐶; the mixture is then pressed into pellets which are used as the source material for evaporation [44]. For ITO deposition indium oxide powder and tin oxide powder are mixed. A typical accelerating voltage used for EBE is 6kV [44]. In [44] samples deposited by EBE had poor transmittance until post 16 annealing at 200-350°C for 30 minutes. In [42] the substrate was heated to a temperature of 250°C during deposition which was necessary to produce films with low resistance and high transmittance. 2.3.4 Chemical Vapor Deposition Chemical vapor deposition is a blanket term for many different processes that involve reactions between precursor material in the vapor phase with the surface of the substrate. One of the most common CVD processes for ITO deposition is spray pyrolysis due to its low cost and simple setup. Unlike the PVD processes described earlier, spray pyrolysis does not require a vacuum system. The process consists of an atomizer that sprays out a liquid solution which coats the substrate; the bed the substrate lies on is heated [45]. The concentration of the precursor solution, the volume of the spray and the distance between the atomizer and the substrates are control parameters used to control the deposition process. In [46] a solution of InCl3 in water is used to create the ITO, the substrate stage is heated to 300-550°C while [47] uses a temperature of 700°C to deposit the ITO film. 2.4 Annealing Methods The concept of using heat to improve the quality of a material has been around for centuries, from smelting ore to making the first bronze and copper tools so modern use in semiconductor manufacturing [48]. Annealing is done for multiple reasons in the semiconductor industry: to remove defects, activate dopants, and promote crystallization of the film. Traditional annealing in an oven or furnace takes significant time so fast annealing techniques are of interest for many engineering and manufacturing applications. By annealing in an oven, the film and the bulk substrate are exposed to the same temperature, making it difficult to process materials that have different thermal properties or are temperature-sensitive. Due to time constraints in 17 manufacturing and low-temperature substrates various specialized annealing methods have been developed. Some alternative methods to process thin films and semiconductors include rapid thermal annealing, laser annealing (LA) and flash lamp annealing [48]. All these methods are much different than using a convection oven to heat a substrate. FLA is the focus of this research but we will give a brief description of RTA and LA as they are seeing increased use in the processing of thin films. 2.4.1 Rapid Thermal Annealing RTA is used heavily in the semiconductor industry to activate dopants, crystallize materials, and to oxidize materials. Unlike traditional thermal annealing RTA only takes a few seconds up to a few minutes [48]; the samples are heated rapidly at a rate of 20-200°C/sec and are cooled rapidly as well. The temperature during RTA can be in the range of 200-1300°C [49]. The RTA furnace uses tungsten-halogen lamps to heat the substrate by intense infrared radiation [50]. As shown in Figure 2.6 the lamps are situated above the sample and are separated by a quartz window; the process can be done in vacuum or at atmospheric pressure with different gas environments. RTA has been used extensively in the semiconductor and solar cell industries but Figure 2.6: Example of an RTA furnace setup. Reproduced with permission from Springer Nature [50] 18 has also seen use in the oxidation of super alloys [50]. In [51] ITO films were deposited by RF sputtering and were annealed at 575°C for 10 minutes; the crystal size, film conductivity and bandgap increased after RTA. In [52] RTA was carried out for 10 minutes at temperatures ranging from 300-500°C to process thin film transistors; after RTA treatment the film was crystalized. 2.4.2 Laser Annealing Laser annealing is another proposed method for rapid annealing of thin films. The laser is scanned over the substrates in a raster pattern as shown in figure 2.7 to anneal the film. The laser spot size can be varied depending on the application ranging from 20μm in diameter to 25𝑚𝑚(cid:2870) [53, 54]. Typical wavelengths used for LA are 355nm and 248nm with pulse lengths of 20-25ns [53,54]. In [53] the laser was scanned at 750mm/s at a frequency of 50 kHz. The laser has a shallow absorption depth of around 100nm so the substrate underneath the film can avoid the higher temperatures seen by the film [53]. In [53] they used LA to anneal a layer of TiO2 while leaving the ITO film underneath untouched which allowed the ITO layer to maintain the desired Figure 2.7: Laser scan pattern for Perovskite solar cell “Reprinted with permission from George C. Wilkes, Xiaoyu Deng, Joshua J. Choi, and Mool C. Gupta ACS Applied Materials & Interfaces 2018 10 (48), 41312-41317 DOI: 10.1021/acsami.8b13740. Copyright 2018 American Chemical Society” 19 properties showing that LA can provide targeted annealing. In [54] the ITO films were annealed by LA but were also heated up to 200°C during the process to ensure crystallization; they found that resistance decreased with increasing laser energy density. LA is beneficial since it heats the substrate locally while the rest of the substrate stays near room temperature. The downside of LA is that the laser can cause delamination or ablation of the film, and it is harder to scale up for large production volumes due to the need for the laser to be scanned over the substrate [48]. 2.4.3 Flash Lamp Annealing Flash Lamp annealing has been around since about the 1950s when it was used by Lundberg and Nelson [48], since then it has seen use in the manufacturing of microelectronics, photovoltaics and in conjuncture with some deposition techniques. FLA is most similar to RTA in that it uses electromagnetic radiation to heat the substrates. High intensity electromagnetic (EM) radiation is illuminated on the sample which heats the surface up by absorption of photons. FLA can be done under vacuum, at atmosphere, or with different gases. A system very similar to the one used in this research is shown in Figure 2.8. The FLA system consists of a chamber to house the flash bulbs, which typically also has a reflector used to redirect any stray electromagnetic (EM) radiation to increase absorption in the film, a capacitor bank used to Figure 2.8: PulseForge 3300 Photonic Curing System 20 Figure 2.9: Schematic of a flash lamp bulb [48] “Reproduced with permission from Springer Nature” provide the large voltages needed to produce the discharge across the xenon lamps, associated electronic controls and a chiller. A substrate heater may also be used to preheat the sample to prevent the film from being thermally shocked during the FLA process. Xenon filled lamps are typically used for FLA but other noble gasses can be used as well; Xe typically has the best efficiency [48]. The most crucial part of the flash lamp system is the flash lamp bulb, a schematic of which is shown in Figure 2.9. The physical structure of the lamp and the fill pressure determine the electrical properties and the power density it can handle. The gas pressure inside the lamps is typically between 200-400 Torr for Xe lamps as this range provides the highest efficiencies [48]. Figure 2.10: Spectrum of N24-VX2 xenon lamps 21 The lamps can be anywhere from 20 cm long to 3.7m long which makes them easily scalable for large production lines [48]. The transparent housing of the lamp is made up of fused silica or quartz (SiO2) [48]. Quartz is used because it has high transmittance in the ultraviolet (UV) and visible range which is where the majority of the EM radiation emitted from the flash lamp is. The emission spectrum of the Xe lamps used in this research is shown in Figure 2.10; the figure also shows that the intensity of the pulses is largely controlled by the capacitor voltage. During the discharge the lamps can see temperatures in excess of 2000K which is why quartz is needed as it can withstand high temperatures. The electrodes are also subject to these high temperatures so they are made of tungsten; the cathode is coated with a metal that has a low work function to encourage the emission of electrons [48]. The geometry of the anode and cathode are chosen as to keep the arc centered in the lamp. The flash lamp produces EM radiation when a plasma is ignited in the lamp; typically an arc forms between the cathode and anode which ionizes the gas.. To ignite the plasma a large electric field on the order of 500 kV/cm is applied over the lamp region; the electric field accelerates electrons which collide with Xe atoms and cause ionization. A large negative potential is applied to the cathode in order the repel electrons. To create an arc across the lamp a trigger voltage is applied that must be about 160% of the breakdown voltage of the lamp [48]. A large electric field is needed to accelerate the electrons significantly to build up kinetic energy and ionize Xe atoms due to the short mean free path of the electrons [48]. The mean free path (𝜆(cid:3032)) of the electrons is given by equation (10), where 𝜎(cid:3034) is the cross section and 𝑛(cid:3028)(cid:3045)(cid:3030) is the density of neutrals in the plasma. The cross section for an atom with radius 𝑟(cid:3036) is given by equation (11). 𝜆(cid:3032) = 1 √2𝜎(cid:3034)𝑛(cid:3028)(cid:3045)(cid:3030) (10) 22 𝜎(cid:3034) = 4𝜋𝑟(cid:3036) (cid:2870) (11) Once the arc has been formed the discharge needs to be maintained for the length of the pulse so power must be continually supplied to the plasma. The high electric field in the beginning is needed to ionize the gas but once the discharge is formed the thermal velocity of the electron is what keeps the discharge going; the thermal velocity is given in equation (12) where T is the temperature, 𝑘(cid:3029) is Boltzmann’s constant and 𝑚(cid:3032) is the mass of the electron. Energy is lost to the plasma in the form of radiation and due to heating of the cold gas around the plasma [48]. The power lost to radiation is the energy that goes to annealing the substrate. 3𝑘(cid:3029)𝑇 𝑚(cid:3032) A schematic of the cathode and plasma interface in the flash lamp is given in Figure 2.11. (12) 𝑣(cid:3047)(cid:3035) = (cid:3496) The negative potential of the cathode repels electrons but attracts Xe+ so region (1) is a charge- neutral region; not much ionization occurs here due to the mean free path of the electrons [48]. Region (2) is the ionization zone where particles begin interacting and thus temperature increases; in region (3) the transition zone the plasma begins expanding to the walls of the lamp, then in region (4) there is a fully formed plasma column [48]. Figure 2.11: Schematic of the plasma regions in the lamp [48] “Reproduced with permission from Springer Nature” 23 The total energy delivered to the lamps is given by equation (13) where C is the capacitance of the system and 𝑉(cid:2868) is the bias voltage to the cathode. The external circuitry required to make the pulse is the charging unit which charges the capacitors then we have the capacitor bank which can be modeled as a single capacitor C in series with a single coil L where the load is the flash lamp. Typical capacitance is on the order of 0.01-10 mF, inductance 0.01-10 mH and the charging voltage is typically a few kV [48]. A characteristic time constant for the system can be described by 𝜏(cid:2868)shown in equation (14) which is on the time scale of the pulse duration [48]. 𝐸(cid:2868) = 1 2 𝐶𝑉(cid:2868) (cid:2870) (13) 𝜏(cid:2868) = √𝐶𝐿 (14) Flash lamps have a 50-80% efficiency, 𝜂, in converting electrical energy into optical energy emitted by the lamp. The electric power density in the lamp is given by equation (15) where 𝑡(cid:2868) is the pulse length, 𝑑(cid:2868) is the cylindrical lamp bore length and 𝑙 is the length of the lamp. The total optical power density emitted by the lamps is given by equation (16). (cid:3032) = 𝑃(cid:3046)(cid:3048)(cid:3045)(cid:3045) 𝐸(cid:2868) 𝑡(cid:2868)𝑙𝜋𝑑(cid:2868) (15) 𝑃(cid:3046)(cid:3048)(cid:3045)(cid:3045) (cid:2868) = 𝜂𝑃(cid:3046)(cid:3048)(cid:3045)(cid:3045) (cid:3032) (16) Instead of looking at the power delivered to the film it is often convenient to look at the energy density as is done with LA. The energy density at the film is given by equation (17) which is measured in units of J/cm2. 𝐸(cid:3042)(cid:3043)(cid:3047) can be approximated by taking integral measure of the emission spectrum over the pulse length. The actual energy absorbed by the substrate is harder to determine as some of the energy will be reflected by the sample; the absorption profile of the material greatly determines the absorbed energy. 24 𝐸(cid:3042)(cid:3043)(cid:3047) = 𝜂𝐸(cid:2868) (17) During FLA there are a few parameters that can be altered such as the pulse length and pulse frequency. The lamp needs a refractory period after each pulse, which is determined by the physical dimensions of the lamp and the plasma properties. Because of this refractory period there is an upper limit on the frequency of the pulses which is in the kHz range [48]. The refractory period can be described by the voltage necessary to ignite the next pulse. During the first stage only, a small voltage is needed as the plasma is still ignited but the deionization process has started. In the second stage, deionization is progressing rapidly and the discharge is gone; the voltage needed for the next pulse rapidly approaches that needed to ignite the plasma initially. Then, in stage 3, the lamp has nearly reached the equilibrium before the first pulse and the voltage required to reignite it is basically the original trigger voltage. The first stage takes 10- 600𝜇𝑠 while the third stage takes a few milliseconds [48]. The electrodes take a few seconds to cool down so they do not have time to cool between pulses which makes water cooling of the electrodes essential. When conducting multiple flashes, the pulses are ignited during stage 3 so the lamp only cools to an elevated equilibrium which makes it easier to reignite. If the lamp is run in the kHz range, then it is run in continuous mode where the pulses are fired at the beginning of the second stage so there is a continuous discharge that has higher intensities when the pulse is fired [48]. Flash lamp output can be tuned to certain wavelengths or optical bands to target a given material better. If certain wavelengths or bands are wanted parameters, can be altered to make the discharge more prominent in the desired region. It can often be desired to increase UV output as the absorption depth at UV is shorter than VIS or NIR, which is important for temperature- sensitive substrates [48]. The lamp enclosure is crucial for optical properties as the radiation 25 must pass through it to reach the substrate. By using a different enclosure or envelope for the flash lamp different wavelengths will be able to pass through easier than others. Synthetic fused quartz is transparent down to 16nm [48] which is better for UV operation. Maximizing current density increases plasma temperature and emissivity at shorter wavelengths which increase UV radiation. Filters can also be applied to select different frequencies of EM radiation. The real benefit of FLA is that it can be used to process samples quickly and it can do so for temperature-sensitive substrates. The emission spectrum of FLA is broad which makes it good for annealing a variety of materials. In [55] they state that FLA can be used to process a thin film on PET where the instantaneous temperature reaches up to 1,000°C. This can be done because the time the substrates spend at the elevated temperature is microscopic so there is not enough time for the heat to equilibrate throughout the material. The time it takes to reach thermal equilibrium is given by equation (18) where 𝜏(cid:3036) is the equilibration time, 𝑥(cid:3036) is the thickness, 𝜅(cid:3036) is the thermal conductivity, 𝜌(cid:3036) is the density and 𝑐(cid:3036) is the specific heat [55]. 𝜏(cid:3036) = (cid:2870) 𝑐(cid:3036)𝜌(cid:3036)𝑥(cid:3036) 4𝜅(cid:3036) (18) During the pulse it takes time 𝑡(cid:3043) to reach the max temperature during the pulse, which often exceeds the working temperature of the material. It takes heat a certain amount of time to equilibrate throughout a material; the thicker the material the longer it takes to reach thermal equilibrium. So, if the film is much thinner than the substrate then the film will reach an equilibrium temperature much quicker than the underlying substrate. To ensure the substrate does not reach damaging temperatures the following condition must be met 𝑡(cid:3043) ≪ 𝜏(cid:3046) (subscript s is for substrate and f is for film). If 𝑡(cid:3043) ≪ 𝜏(cid:3046) then the substrate does not spend enough time at elevated temperatures to cause damage [55]. To ensure the film is properly annealed we want it to have time to equilibrate so 𝜏(cid:3033) ≪ 𝑡(cid:3043). Another factor to ensure the film does not reach too high 26 of temperature is that the substrate acts as an effective heat sink so the film cools rapidly; if the film cannot cool rapidly then the excess temperature can cause damage to the substrate. If 𝑥(cid:3033) ≪ 𝑥(cid:3046) then the substrate will have enough thermal mass to effectively cool the film [55]. 2.5 Novacentric Simulation Since the temperature of the substrate is hard to calculate during the actual FLA process due to the short nature of the flashes, simulations are helpful for determining the temperature profile of the film and substrate. In this research a Novacentrix PF-3300 flash lamp system was utilized to conduct the FLA. The System has a built-in software called SimPulse which is used to simulate the temperature profile. Built into the software are the thermal properties of many different materials; you can build your film stack and then assign the appropriate boundary conditions and flash lamp parameters to calculate the temperature profile. By adjusting the different pulse parameters such as peak intensity, pulse length, and frequency of pulse repetition, the temperature profile can be controlled [21]. The SimPulse software uses a 1-D heat conduction model to simulate the photonic curing [21]. The governing equation is discretized using the finite volume method and the results were validated with multiple known analytical solutions [21]. The 1-D conduction heat equation is given by (19) where S is a volumetric source term T is the temperature, 𝜌 is the density, 𝑐(cid:3043) is the specific heat at constant pressure and k is the thermal conductivity [21]. 𝜌𝑐(cid:3043) 𝜕𝑇 𝜕𝑡 = 𝜕 𝜕𝑥 (cid:3436)𝑘 𝜕𝑇 𝜕𝑥 (cid:3440) + 𝑆 (19) The heat conduction equation is discretized and the substrate and film are divided into a finite number of volumes in which the equation is integrated over to find the temperature [21]. Figure 2.12 shows how a n layer stack would be discretized. If we treat the FLA pulse as a heat flux at the surface, then the boundary conditions for the sample are as shown in equations 20 and 27 21 at x= 0 and x = L, respectively [21], where ℎ(cid:2998),(cid:2868) is the convective heat transfer coefficient above the film surface, 𝑇(cid:2868) is the initial temperature at the film surface (x=0), 𝑇(cid:2998),(cid:2868) is the ambient temperature above the film, 𝜀 is the emissivity, 𝜎 is the Stefan-Boltzmann constant with a value of 5.67 × 10(cid:2879)(cid:2876) 𝑊/𝑚(cid:2870)𝐾(cid:2872), 𝑞(cid:3043) (cid:4593)(cid:4593)(𝑡) is heat flux due to the pulse waveform, 𝑞(cid:2868) (cid:4593)(cid:4593)(𝑡) is the heat flux at x=0 and 𝑞(cid:3013) (cid:4593)(cid:4593)(𝑡) is the heat flux at x=L [21]. (cid:4593)(cid:4593)(𝑡) = 𝑞(cid:3043) 𝑞(cid:2868) (cid:4593)(cid:4593)(𝑡) − ℎ(cid:2998),(cid:2868)(cid:3435)𝑇(cid:2868) − 𝑇(cid:2998),(cid:2868)(cid:3439) − 𝜀𝜎𝑇(cid:2868) (cid:2872) (20) (cid:4593)(cid:4593)(𝑡) = ℎ(cid:2998),(cid:3013)(cid:3435)𝑇(cid:3013) − 𝑇(cid:2998),(cid:3013)(cid:3439) (21) 𝑞(cid:3013) If instead we treat the pulse as a volumetric source, then 𝑞(cid:3043) (cid:4593)(cid:4593)(𝑡) = 0, and the heat pulse flux follows Beer’s law (equation 22) and is absorbed volumetrically throughout the substrate; (cid:4593)(cid:4593)is the incident heat on the surface of the substrate and 𝑞′′ is the flux transmitted through a 𝑞(cid:2868) depth 𝑙, 𝛼 is the absorption coefficient of the material. Beer’s law is used to calculate the surface heating flux absorbed in each cell. (cid:3044)(cid:4594)(cid:4594) (cid:4594)(cid:4594) = 𝑒(cid:2879)(cid:3080) (22) (cid:3044)(cid:3116) Figure 2.12: Example of finite volume discretization 28 2.6 Film Characterization To understand how FLA and TA affect the films properties it is essential to perform characterization of the film. To evaluate how annealing alters structural, optical and electrical properties of the ITO film, powdered X-ray diffraction (XRD), scanning electron microscopy (SEM), spectrophotoscopy, four-point probe (4PP) and hall effect measurements are needed. In the following section a brief description of each characterization technique will be given. 2.6.1 X-ray Diffraction XRD is used to determine the crystal structure of a material and to how large the grain sizes are. X-rays have a wavelength on the order of 10(cid:2879)(cid:2876) − 10(cid:2879)(cid:2869)(cid:2869)𝑚;the lower end is on the order of the lattice spacing in crystals allowing x-rays to be scattered by atoms in the crystal [56]. XRD takes advantage of this scattering to analyze the crystal structure. There are many different crystallographic planes in a crystal which are identified by their miller indexes (h k l). These different crystallographic planes have different densities of atoms and different interatomic spacing between consecutive planes. Equation (23) is known as Bragg’s Law, where n is the order of reflection, 𝜆 is the wavelength of the incident x-ray, d is the spacing between atomic planes and 𝜃 is the angle of the incident radiation; using Braggs law and a known wavelength the interplanar spacing d can determined. Braggs law is the backbone of powdered x-ray diffraction (XRD) possible. 𝑛𝜆 = 2𝑑𝑠𝑖𝑛𝜃 (23) Figure 2.13 shows the diffraction of x-rays from consecutive planes in the crystal lattice. In XRD analysis a beam of X-rays is incident on a sample at a particular angle and the diffracted angle is measured with a detector [57]. Either the source of the X-rays or the sample stage is rotated to sweep the incident angle of the X-ray. An incident X-ray is passed through a 29 Figure 2.13: Diffraction of x-rays from different planes collimator to make a defined beam and once they scatter from the crystal a detector measures the deflection angle (2𝜃). The detector measures the X-rays scattered from the sample, at each angle. For the investigation of crystal structure, we are interested in the constructive interference of two incident beams that are reflected off consecutive atomic planes; the difference in the path length traveled by the incident rays causes constructive interference. The beams must interfere constructively so we can measure it, thus it is required that the spacing d is an integer number of the wavelengths otherwise Braggs’ Law does not hold. The different crystallographic planes will have different spacing d which causes the diffraction angle to change. Amorphous materials scatter x-rays in all directions so there is no distinctive peak. Crystalline solids due to their repetitive structure cause many photons to be scattered in the same direction. The relative intensity of the beam is a measure of how prominent a particular plane is. The Schrerrer formula (equation 24) is used to calculate the average crystal size from the XRD diffraction data [58,59]. K is a dimensionless shape factor whose value depends on the 30 material. 𝜆(cid:3041)(cid:3040) is the wavelength of the incident x-ray in nm, 𝛽 is the full width at half max (FWHM) in radians, 𝜃 is the angle at which the peak occurs. The FWHM is calculated in radians and is found by locating the max intensity for a given peak, then halving that number and finding the width of the peak broadening in radians at half the max height. 𝜏 = 𝐾𝜆(cid:3041)(cid:3040) 𝛽 cos 𝜃 (24) 2.6.2 Scanning Electron Microscope Scanning electron microscope (SEM) is used for qualitative analysis of the surface morphology. Light microscopes have a resolution that is limited by the wavelength of visible light, so the resolution limit is around 0.2 𝜇𝑚 [60]. SEM uses a beam of electrons to create an image of the sample allowing a much higher resolution image to be achieved. The basic operation of the SEM is as follows: a beam of electrons is scanned across the surface of the sample and as the electrons interact with the samples, they produce many different signals. The various signals produced can be seen in Figure 2.14; the microscope includes many detectors and filters to collect and process the desired signals. Secondary electrons are the main signal Figure 2.14: Signals generated by electron beam interaction with the sample [61] “Reproduced with Permission from Springer Nature” 31 collected to image the surface and can provide a resolution of 10nm [61]. When the electron beam hits the surface of the sample this causes surface atoms to become ionized and electrons are emitted from the surface. These secondary electrons are detected using an Everhart-Thornley detector. The electron beam is produced by a tungsten filament which is heated to thermally excite electrons; to get better resolution the beam of electrons is focused into a finer beam using magnetic fields [61] 2.6.3 Optical Characterization Optical characterization of ITO films is essential since ITO is desired for being a transparent semiconductor. A spectrophotometer is used to measure the transmission and reflection of EM radiation for a material in the ultraviolet (UV), visible and near-infrared (NIR) regions (200-1700nm). The main components of a spectrophotometer are shown in Figure 2.15. To cover the wavelengths of interest multiple light sources are needed. The collimator focuses the light into a beam, the monochromator selects the wavelength of light so the transmission/reflection can be calculated for each wavelength, then a detector is used to measure the light that passes through the sample or that is reflected by the sample. Spectrophotometers typically utilize at least two light sources to cover the entire spectrum such as Tungsten-Halogen filaments lamps and Deuterium lamps [62]. The range of wavelengths also requires different Figure 2.15: Basic operation of spectrophotometer [63] 32 detectors which are more apt for that region, such as photomultiplier tubes for visible and NIR and silicon photodiodes for UV. The reflectance measurements require a reflection standard for the measurements to be referenced to. From the transmission and reflection data the absorption coefficient can be calculated using a form of Beer-Lamberts Law (equation 25) formulated for thin films [4,33]. 𝛼 is the absorption coefficient t is the thickness of the film, R is the total reflectance and T is the total transmittance. From the plot of (𝛼ℎ𝜈)(cid:2870) vs. ℎ𝜈 extrapolation from the straight regions of the plots to 𝛼 = 0 can be used to determine the optical bandgap in what is known as the Tauc method [33, 64]. Equation (26) is the common form used to graph the optical bandgap as can be seen in[4, 20, 64]. 𝛼 = 1 𝑡 ln ( 100 − 𝑅 𝑇 ) (25) 𝛼(ℎ𝜈) ∝ (cid:3435)ℎ𝑣 − 𝐸(cid:3034)(cid:3439) (cid:3117) (cid:3118) (26) 2.6.4 Electrical Characterization An essential tool for characterizing the electrical properties is the four-point probe (4PP) which is used to measure the sheet resistance of semiconductor devices and thin films. The resistivity of a material is the inverse of the conductivity and can be obtained by taking the inverse of equation 8 to get equation (27) below. 𝜌(cid:3045)(cid:3032)(cid:3046) = 1 𝜎 = 1 𝑒𝑛𝜇(cid:3032) + 𝑒𝑝𝜇(cid:3035) (27) Since ITO is an n-type semiconductor (𝑛 ≫ 𝑝) thus the resistivity can be written as 𝜌 = (cid:2869) (cid:3032)(cid:3041)(cid:3091)(cid:3280) . A schematic drawing of a 4PP is given in Figure 2.16 where the four probes are spaced equal distance from each other. The 4PP works by sending a current between the two outside probes; then the voltage drop across the two inner probes is measured. From the measured voltage and the known input current the resistance can be determined. Four-point probes are used 33 Figure 2.16: Schematic drawing of four-point probe measurement opposed to two-point probes in order to eliminate the resistance of the probe itself. The 4PP measurement does not assume the contact shape or size which makes it easy to use on any surface contact [57]. The resistance measured by the 4PP is measured in terms of Ω/sq so this is known as a sheet resistance and it is calculated internally by equation (28) [57]. To obtain the resistivity of the film equation (28) is divided by the film thickness 𝑡 as shown in equation (29). 𝑅(cid:3046) = ln(2) 𝜋𝜎 (cid:3436) Ω 𝑠𝑞 (cid:3440) (28) 𝜌(cid:3045)(cid:3032)(cid:3046) = 𝑅(cid:3046) 𝑡 (29) The next electrical characterization method is the Hall effect measurement; it is used in the semiconductor and thin film industry to determine the mobility and doping of the charge carriers. The Hall effect measurement takes advantage of the Hall effect. Figure 2.17 shows a schematic illustrating how the Hall effect is measured. A voltage (V) is applied across the sample in the 𝑦(cid:3548)-direction which causes an electric field in the 𝑦(cid:3548)-direction. This electric field causes a current to flow in the sample; electrons will flow in the −𝑦(cid:3548)-direction. A magnetic field 𝐵 is 34 applied in the 𝑧̂-direction; the electrons respond to the magnetic field in accordance to Lorentz law as given in equation (30). 𝐹⃗ is the force felt on the particle of charge q with velocity 𝑣⃗. 𝐹⃗ = 𝑞𝑣⃗ × 𝐵(cid:4652)⃗ (30) Figure 2.17: Schematic drawing of Hall Effect Measurement setup [65] If we plug in the charge of the electron and the direction of its velocity along with the direction of the magnetic field, we get equation (31) and see that the electron drift in the 𝑥(cid:3548)- direction due to the magnetic field. 𝐹⃗ = −𝑒(cid:3435)−𝑣(cid:3052)𝑦(cid:3548) × 𝐵(cid:2868)𝑧̂(cid:3439) = 𝑞𝑣(cid:3052)𝐵 𝑥(cid:3548) (31) The drift of electrons to the 𝑥(cid:3548) surface of the sample causing an unequal distribution of charge which creates the internal electric field (𝐸(cid:3009)) to be generated in the 𝑥(cid:3548) direction. This internal field is referred to as the Hall field and it continues to build up as more electrons drift to the 𝑥(cid:3548) surface until a steady state is reached; this steady state condition is given by equation (32) [30]. From the applied electric field, we have a current density in the 𝑦(cid:3548) given by 𝑒𝐸(cid:3009) = 𝑒𝑣(cid:3052)𝐵 (32) 𝐽(cid:3052) = 𝑒𝑛𝑣(cid:3052) (33) 35 Solving for 𝑣(cid:3052) and substituting into equation (32) we get the following where 𝐸(cid:3009) and 𝐽(cid:3052) are measured and 𝐵 is known. 𝐸(cid:3009) = 1 𝑒𝑛 𝐽(cid:3052)𝐵 (34) The Hall coefficient 𝑅(cid:3009) is defined in equation (35) where 𝐸(cid:3009) = −𝐸(cid:3051) 𝑅(cid:3009) = (cid:3006)(cid:3299) (cid:3011)(cid:3300)(cid:3003) (35) Comparing (34) with (35) we see that the carrier concentration can be determined by the following equation including the correction factor 𝑟(cid:3035) known as the Hall factor which is typically close to unity [65]. 𝑅(cid:3009) = − 𝑟(cid:3009) 𝑒𝑛 (36) The mobility is then determined by equation (37) where 𝜌 = (cid:2869) (cid:3032)(cid:3041)(cid:3091)(cid:3280) where the assumption that 𝑛 ≫ 𝑝 since we are interested in ITO and it is a n-type semiconductor [66]. 𝜇(cid:3032) = 𝑅(cid:3009) 𝜌 (37) 36 Chapter 3: Experimental Procedures “This section was chapter 3 from “Ethan Neitzke, Qi Hua Fan; Study of flashlamp annealing to promote crystallization of indium tin oxide thin films. J. Appl. Phys. 21 December 2023; 134 (23): 235303. https://doi.org/10.1063/5.0177627 ” with the permission of AIP Publishing For this research ITO films of 100 nm thick were deposited on 153 × 153 mm2 glass substrates with a thickness of 300 μm. The films were deposited by DC magnetron sputtering in an argon gas at a pressure of 3 mTorr (0.4 Pa) at room temperature. Prior to the deposition the vacuum system was pumped down to a pressure of 1 × 10(cid:2879)(cid:2874) Torr (133 μPa) and underwent pre-sputtering for 10 minutes; the power density was approximately 20 W/m(cid:2870). The ITO-coated glass substrates were cut into 12 × 12 mm2 square samples for subsequent treatment and characterization. The original sheet resistance of each sample was measured using a 4-point probe (Guardian Manufacturing SRM-232-1000). Three methods were used to process the ITO films to compare FLA to TA and the combination of both. The first procedure involved only FLA with all variable’s constant except the number of pulses. The flash conditions were as follows; the voltage was 820V, 90% duty cycle, 1 micro pulse per pulse with a 265 μs pulse length, 2 Hz frequency and a pulse count which was varied as 20, 50, 100 and 200. Simulation of the flash lamp process was done using the Novacentrix SimPulse software to get an understanding of the process parameters and the temperature profile of the sample. Three samples were used for each TA condition; one of these samples then underwent FLA treatment. Each sample was measured multiple times at different locations to ensure the data was reliable. The FLA experiments were conducted in air at atmospheric pressure using a Novacnetrix PF-3300 flash lamp system which utilized three N24-VX2 xenon lamps. For the first procedure, the samples were placed in the Novacentrix system and preheated at 100°C for 3 minutes before FLA to prevent the film from being thermally shocked by the FLA. After the FLA 37 treatment, the samples were left in the system to cool to 70°C before they were removed to prevent a quenching-like effect from the flash lamp. The second annealing procedure involved only thermal annealing. Three samples were annealed in an oven (Across International ACCUTEMP-09) at atmospheric conditions. The oven was preheated to the desired temperature then the samples were put on preheated trays and placed inside the oven. A k-type thermocouple was used to monitor the actual temperature locally on the sample tray. Samples were annealed at three temperatures: 155°C, 190°C, and 215°𝐶. At each temperature the samples were annealed for 5, 15 and 30 minutes. When the samples were taken out of the oven, they were left to cool on the trays for 5 minutes before being removed. For the third annealing procedure, the samples first underwent thermal annealing following procedure two, then the samples underwent FLA using the conditions described in procedure 1 but with a constant pulse count of 100. After annealing, the samples' electrical, optical, and structural properties were characterized. Guardian 4-point probe was used to measure sheet resistance. Mobility and carrier concentration were measured using a Lake Shore Cryotronics HMS-TT FastHall station. Transmittance and reflectance were measured using a UV-Vis-NIR spectrophotometer (KLA Instruments, F20) with a resolution of approximately 1 nm. Glancing angle x-ray diffraction (XRD) was performed on a Rigaku SmartLab system at an incident angle of 1° using Cu Kα radiation having a wavelength of 1.54 Å, power of 1200 W and a scan rate of 2.4°/min. The morphologies of the samples were investigated by SEM with an accelerating voltage of 3 kV (Carl Zeiss, Auriga Dual Column Focus Ion Beam SEM). The film thickness was measured using a (Brunker DektakXT) profilometer with a 6.5 μm tip, and a stylus force of 10 mg. 38 Chapter 4: Results and Analysis This chapter is based on the following paper “Ethan Neitzke, Qi Hua Fan; Study of flashlamp annealing to promote crystallization of indium tin oxide thin films. J. Appl. Phys. 21 December 2023; 134 (23): 235303. https://doi.org/10.1063/5.0177627 ” with the permission of AIP Publishing The flash lamp annealing process was simulated using Novacentrix SimPulse Software to estimate the substrate temperature profile. The flash conditions used were 820V, 90% duty cycle, pulse length of 265 μs at a frequency of 2 Hz. The total pulse count was varied as 20, 50, 100 and 200 while all other process parameters were held constant. Using the SimPulse software the temperature at various depths in the film and substrates were simulated for 100 pulse counts as shown in figure 4.1, where there is a pulse every half second so 50 seconds corresponds to 100 pulses. The temperature profile was monitored at the surface of the ITO layer (0 μm), the end of the ITO layer (0.1 μm), 10 μm into the glass substrate and on the back side of the substrate as shown in Figure 4.2. In Figure 4.1, the surface of the film reaches a max temperature of 181.7 °C at the instance of the pulses, while the average temperature is 135.2 °C. At a depth of 10 μm the max temperature is 153.5°C, while the average temperature is 129.6°C. The back side of the Figure 4.1: Novacentrix Convective Temperature profile simulation, showing temperature at different depths in the substrate for a pulse count of 100 39 Figure 4.2: Schematic of film and substrate orientation with depth markers Figure 4.3: Novacentrix simulation of temperature profile at surface of film for a film with absorption coefficient of 0.02 and 0.10 substrate reaches a max temperature of 133.1°C with an average temperature of 125.9°C. Figure 4.3 shows how the temperature profile of the films changes substantially with the absorption coefficient. Figure 4.3 shows that the absorption coefficient is very important for determining the flash conditions as significant film temperatures can be reached. For simulation purposes, we used an absorbance of 2.5% since the average absorbance over the visible spectrum (400-800 nm) was 2.5% based on transmission and reflectance measurements taken of the as-deposited sample. We only considered the visible spectrum since this is the range over which the xenon lamps are the most intensive. The average temperature at the film’s surface for increasing the pulse counts can be seen in Figure 4.4. The max temperature is achieved at the instance of the Figure 4.4: Average temperature at surface of film for increasing pulse counts 40 pulse after which the temperature drops rapidly before the next pulse. Due to the short duration of the pulse measuring the actual instantaneous temperature profile is difficult and thus the temperature profile is based only on the simulation. The energy delivered to the film during the pulse quickly disperses throughout the film which accounts for the average temperature of the substrate. XRD measurements for the various annealing conditions can be found in Figure 4.5. The XRD diffraction peaks in Figure 4.5(a) prove that FLA can be used to crystallize the ITO. For all annealing methods the (222) plane scatters the most intensively. For a pulse count of 20 or 50 only the (222) plane can be seen in the XRD patterns. For higher pulse counts of 100 and 200 there are additional peaks corresponding to the (211), (400), (440), and (622) planes which, along with the (222) plane, are all characteristic of ITO films as seen by other work [19, 20, 58, 59]. The intensities of the peaks increase with the pulse counts, indicating a more crystalline film. Figure 4.5(d) shows that thermal annealing at 155°C, 190°C or 215°C for 15 minutes can crystallize the film and that higher temperature leads to higher intensities in the XRD pattern. Figure 4.5(b) illustrates that longer annealing times lead to higher diffraction intensities, which means an enhanced crystallization. Comparing figures 4.5(b, d), to 4.5(c, e) it is apparent that the addition of flash lamp annealing after thermal annealing only aids in the crystallization of ITO if the thermal annealing step was short. The sample annealed at 190°C for 5 minutes saw more intensive XRD peaks particularly at the (222) plane after FLA. The most intensive scattering was achieved for sample TA at 215°C for 15 minutes. FLA at a pulse count of 100-200 can produce the same XRD diffraction intensity as TA at 190°C for 30 minutes which proves FLA is a much faster crystallization method. 41 Figure 4.5: XRD diffraction patterns for a) FLA treatment at different pulse counts b) TA at 190°C for different times c) TA and FLA at 190°C for different time d) TA at different temperature e) TA and FLA at different temperatures 42 Figure 4.6: Crystal size for a) FLA treatment at different pulse counts b) TA and TA/FLA at different temperatures c) TA and TA/FLA at different times The Sherrer formula equation 24 was used to calculate the crystal size of the samples where a value of 0.89-0.9 was used for the dimensionless factor K for ITO based on other literature [58, 59]. Using Sherrer’s equation the mean crystal size was calculated for each peak for each annealing condition. The crystal sizes for the three of the most intensive XRD peaks at different annealing conditions be seen in Figure 4.6. The mean size of the crystals in the (222) plane was smallest for the pulse count of 20 while for pulse counts of 50, 100 and 200 the crystal size was almost identical at roughly 26 nm. The smaller crystal size for the pulse count of 20 can be attributed to the lower energy being transferred to the film. As more energy is transferred to the film more atoms can diffuse and larger crystals can form. There is no change in crystal size from 50-200 pulses. In the (400) and (440) planes the pulse count of 200 had a larger mean crystal size than the pulse count of 100. The higher the pulse count the more energy is transferred to the film which explains the slightly larger crystal size. Figure 4.6(c) shows that the annealing time does 43 not have any significant effect on the crystal size since all times had roughly the same crystal size. Varying the annealing temperature similarly did not greatly affect the crystal size. The effect of flash lamp on the crystal size after thermal annealing appears to be negligible as for some conditions the crystal size increases slightly and for others it decreases slightly. Overall, the different annealing conditions did not have a substantial effect on the crystal size. In [3] Joshi, Salil M., et al found that after annealing at high temperatures up to 750°𝐶 there was no significant grain growth which concurs with these findings that annealing temperature did not have a significant effect on crystal size. Ghorannevis et al. in [58] had a crystal size of 25.440 nm for 100 nm thick ITO film grown by RF sputtering, which is similar to what was found in this experiment for the (211) and (222) planes. Ahmed et al. in [5] found that the crystal size in Figure 4.7: SEM images of flash lamp annealed samples (scale reads 100 nm) a) pulse count 20 b) pulse count 50 c) pulse count 100 d) pulse count 200 44 the (222) plane increased with annealing temperature, which was not seen in this study. In their study, they annealed the ITO samples at much higher temperatures from 250−550°C, therefore higher annealing temperatures are needed to promote the growth of larger crystals. Given the results of [5] significant energy is needed to promote large grain growth in ITO so FLA would not be suitable. The SEM images in Figure 4.7 do not show much difference in the film morphology for the different pulse counts. Images for TA samples similarly revealed no significant structural differences. Figure 4.8 shows the carrier concentrations (n) for different annealing treatments. The carrier concentration varied from 3 × 10(cid:2870)(cid:2868) cm(cid:2879)(cid:2871) to 4.81 × 10(cid:2870)(cid:2868) cm(cid:2879)(cid:2871). Carrier concentration generally decreased with increased annealing time and increasing temperature. During thermal annealing, defects in the material are removed which affect the charge carriers. Buckeridge, et al in [66] suggest that oxygen vacancies act as shallow donors in ITO which contribute significantly to the carrier concentration. [19] states that annealing in air removes oxygen vacancies which act as donors and thus decrease the carrier concentration. The authors in [4, 66, 67] label oxygen vacancies as electron donors. Our hypothesis is that the thermal annealing in air removes the oxygen vacancies which act like electron donors and decreases the carrier concentration. The higher the temperature or longer the annealing time the more defects and vacancies are removed and thus the lower the carrier concentration. In Figure 4.8(c) the carrier concentration increases at first for TA at 155°𝐶. It is believed that this is due to the removal of defects that act as traps; not enough energy has been transferred to the film yet to remove oxygen vacancies, but as time increases the carrier concentration drops again due to the removal of those oxygen vacancies. There does appear to be a saturation of the oxidizing effect for TA at 215°𝐶 as there is no further 45 Figure 4.8: Carrier concentration (scale 10(cid:2870)(cid:2868)) as a function of a) flash annealing pulse count c) thermal annealing time and temperature b, d-f) thermal annealing and FLA decrease in n from 15 to 30 minutes as shown in figure 4.8(c). This saturation of the oxidizing effect is not seen in FLA as the carrier concentration continues to decrease at 200 pulse counts as seen in Figure 4.8(a); perhaps a higher pulse count is needed to see such a saturation. The carrier concentration initially increases but then decreases after a pulse count of 50 as shown in Figure 4.8(a). During the interval over which the carrier concentration increases is the same as when the mobility remains constant. The hypothesis is that the FLA treatment at low pulse count does not input enough energy into the film to repair defects that require high activation energy, such as impurities or oxygen vacancies; but the low pulse energy is enough to repair dangling bonds at grain boundaries which reduce the recombination rate and thus increases the carrier concentration while leaving the mobility unchanged as seen in Figure 4.9. For higher flash counts and during TA, there is sufficient energy to remove oxygen vacancies which is responsible for the decrease in carrier concentration. Our results agree with the 46 literature which suggests that oxygen vacancies are a major carrier donor in ITO [66, 68]. FLA after TA had no real effect on carrier concentration at 215°𝐶 but further decreased for 190°𝐶. Mobility increases after TA or FLA until it reaches a maximum value. For FLA, the mobility ranged from 35 to 65 cm(cid:2870) V(cid:2879)(cid:2869)s(cid:2879)(cid:2869) , while for TA it ranged from 35 to 76 cm(cid:2870) V(cid:2879)(cid:2869)s(cid:2879)(cid:2869) which is similar to values in other literature [68]. The increase in mobility can be attributed to the transition from the amorphous structure of the as-deposited film to the crystallized films produced by TA and FLA as proven by XRD analysis. During the annealing process, defects in the lattice are removed; the removal of defects along with the increase in crystallinity allows for higher carrier mobility. Electron mobility can be affected by lattice scattering, neutral impurity scattering, ionized impurity, and grain boundary scattering [20, 68]. The initial change from amorphous to crystalline reduces scattering and recombination due to the removal of defects but does not account for the variation in the mobilities. Except for two TA Figure 4.9: Mobility as a function of a) flash annealing pulse count c) thermal annealing time and temperature b, d-f) thermal annealing and FLA 47 treatments and an FLA treatment with a pulse count of 20 where the average crystal size was slightly smaller for some crystal orientations the average grain size remained almost identical for each treatment condition. Crystal size does not change substantially with the heat treatment so the heat treatment methods presented here mostly aid in the reduction of defects not in crystal growth. The consistency of the grain size suggests that grain boundary scattering is unlikely the main mechanism by which mobility increases. Since the average grain size was roughly the same for all treatment methods, the grain boundary would be roughly the same size so no change in scattering from grain boundaries is to be expected. Figure 4.9 shows how the heat treatments affect mobility. The general trend is that increasing the annealing time or temperature causes the mobility to increase. This increase can be attributed to the reduced defects and thus decreasing scatt ering. The sample annealed at 215°𝐶 for 30 minutes shows a slight decrease in mobility. Several mechanisms could contribute to this effect, such as concentrated impurities at grain boundaries due to high temperatures. The actual mechanism needs further characterization. For FLA, as seen in Figure 4.9(a), the mobility originally remains constant then increases rapidly as high pulse counts. XRD analysis shows the film is barely crystallized for a pulse count of 20 or 50 so the dramatic change in mobility can be attributed to the crystallization at a pulse count of 100. Further FLA at higher pulse counts would increase the crystallinity and remove defects, accounting for the increase in mobility. From Figure 4.9(b, d, f) FLA after TA generally causes an increase in the mobility, which is more pronounced for shorter annealing times. FLA removes additional defects after TA, which decreases scattering. 48 The average sheet resistance of the as-deposited films was 50 Ω/sq. After TA or FLA the sheet resistance decreases as shown in Figure 4.10 with the lowest resistance achieved being 29 Ω/sq. This sheet resistance was achieved by TA alone at 215°C for 30 minutes and at 155°C for 5 minutes with FLA treatment. The sheet resistance or resistivity of the film depends on both the carrier concentration and the mobility. For FLA the sheet resistance drops initially due to the increase in carrier concentration but later is attributed to the increase in carrier mobility. For TA as the annealing time increases the sheet resistance drops, which is mainly due to the stark increase in mobility. For either treatment, as the time or pulse count increases the sheet resistance decreases. Using the combination of TA and FLA only has a substantial effect for annealing at 155°𝐶 and 190°𝐶 for 5 minutes, otherwise the sheet resistance saw minimal change after the TA. FLA does not offer much improvement in the electrical properties after TA since thermal annealing transfers a large amount of energy to the lattice which is sufficient to promote Figure 4.10: Sheet resistance as a function of a) flash annealing pulse count c) thermal annealing time and temperature b, d-f) thermal annealing and FLA 49 crystallization and removal of many defects. FLA is a high energy process that only lasts a short time so after thermal annealing FLA does not provide enough energy to further promote crystal growth or removal of significant defects. The optical bandgap seen in Figure 4.11 shifts to shorter wavelengths (higher energies) after both FLA and TA. The higher the pulse count the larger the shift in the optical bandgap as expected since a larger pulse count corresponds to more energy being deposited in the film and more crystallization occurring as shown by XRD analysis. Thermal annealing similarly shifts the bandgap to lower wavelengths. At 190°C annealing for more than 15 minutes has no effect on the optical bandgap. The benefit of increasing temperature appears to reach a plateau at around 190°C since annealing at 215°C causes no further shift in the bandgap. 3.8 eV is the max Figure 4.11: Optical bandgap for a) different flash annealing conditions b) different thermal annealing temperatures c) comparison of annealing methods d) different thermal annealing times 50 bandgap achieved through either TA or FLA. Combining flash lamp annealing and thermal annealing does not cause an increase in the bandgap beyond what either treatment can achieve individually as seen in Figure 4.11. The absorption coefficient was calculated using Beer- Lamberts law (equation 25); the optical bandgap was subsequently graphed using equation 26 [4, 20, 69 ]. ITO is a degenerate semiconductor, so the widening of the bandgap is often attributed to the Moss-Burstein effect which is due to increased carrier concentration [4]. Here it was found that the bandgap widens after TA or FLA but the carrier concentration typically decreases. For FLA with a pulse count of 20 or 50 the carrier concentration increases but the bandgap does not change substantially from the as-deposited sample so the Moss-Burstein effect does not play a dominating role. The defect in the as-deposited film causes intermediate donor levels which effectively lower the bandgap so when these defects are removed the donor levels are removed and the bandgap effectively widens. Joshi et al. in [3] state that doping with Sn causes oxygen vacancies which create shallow donor states close to the conduction band. It is hypothesized that during TA or FLA at high pulse counts oxygen vacancies are removed, which would remove these shallow donor states and account for the widening of the optical bandgap. This is supported by other research which suggests the removal of oxygen vacancies, which act as shallow donors resulting in the bandgap widening [66]. Transmittance remains constant under FLA until a pulse count of 200 as shown in Figure 4.12. For a pulse count of 200, there is an increase in transmission over most of the spectrum but most significantly in the ultraviolet (UV) and infrared regions (IR). TA increases the transmittance as shown in Figure 4.12(b, c) where a higher/longer annealing temperatures/times corresponds to higher transmittance. Again, the transmittance increases somewhat over the entire 51 Figure 4.12: Transmission spectrum for a) different flash annealing conditions b) different thermal annealing temperatures c) different thermal annealing lengths d) thermal annealing spectrum most significantly in the UV and IR regions. The transmittance in the visible region increases slightly with high annealing temperature or pulse count but otherwise remains unchanged. Looking at Figure 4.12(e), FLA after TA does not change the transmission. In [19] it is suggested that a lower carrier concentration leads to higher transmittance in the IR region due to fewer carriers being available to scatter the light. In [70] they found 52 Figure 4.13: Reflectance spectrum for a) different flash annealing conditions b) different thermal annealing temperatures c) different thermal annealing lengths d) thermal annealing and flash annealing e) comparison of all three annealing treatments decreasing transmittance in the IR region with increasing carrier concentration which is in agreement with our findings. A decrease in carrier concentration was found which would account for the increase in transmittance in the IR region. The transmittance increase in the UV region is explained by the widening of the bandgap, allowing higher energy photons to be transmitted. The 53 slight increase in the transmittance over the visible spectrum is believed to be due to the decrease in oxygen vacancies as indicated by the decrease in carrier concentration. Figure 4.13 shows the reflection spectrum for various annealing conditions. The reflectance decreases over the visible and IR regions for both FLA and TA. This reduction in reflection is accompanied by an increase in the transmittance. At an annealing time of 15 minutes the reflectance is unchanged for different annealing temperatures. For all annealing conditions there is an increase in the reflectance in the range of 300-400 nm. This increase in reflection could be due to reduced absorption accompanied by the widening of the bandgap. The reflectance increases with annealing temperature as in Figure 4.13(c). The annealing time appears to reach saturation at or before 15 minutes since there is no further change in the reflectance for increased annealing time. For the FLA treatment with pulse count of 20 and the TA treatment at 190°𝐶 for 5 minutes, there is about a 10% decrease in the reflection in the UV region and a smaller decrease in the IR. This reduction in reflection is accompanied by a corresponding increase in the transmittance spectrum for the sample TA at 190°𝐶 for 5 minutes. The transmittance spectrum for the FLA of 20 however does not see any increase. For both these conditions there is an increase in the carrier concentration as indicated in Figure 4.8(a, c). The mechanism for this decrease in reflectance in the UV region for FLA with a pulse count of 20 is unexpected and requires further characterization. FLA after TA has minimal effect on the reflection spectrum for ITO. The lack of change in the transmission or reflection with the combined annealing treatment is attributed to the lack of significant change to the crytal structure of the film with the additional FLA treatment. 54 Chapter 5: Summary and Conclusion This chapter is based on the following paper “Ethan Neitzke, Qi Hua Fan; Study of flashlamp annealing to promote crystallization of indium tin oxide thin films. J. Appl. Phys. 21 December 2023; 134 (23): 235303. https://doi.org/10.1063/5.0177627 ” with the permission of AIP Publishing Comparison of the electrical properties, optical properties, and morphology of ITO films post processed by FLA and TA were conducted. 100 nm thick ITO films underwent flash lamp annealing and thermal annealing. XRD analysis showed that FLA can crystallize ITO under high pulse count. The crystal size of the ITO films did not vary substantially between FLA or TA samples. The sheet resistance was decreased substantially with both FLA and TA, achieving a resistance of 29 Ω/sq. The mobility increased from 30-40 to 70-80 cm(cid:2870) V(cid:2879)(cid:2869)s(cid:2879)(cid:2869) for FLA and TA as the film shifted from amorphous to crystalline and defects were reduced. The carrier concentration decreased for TA and for FLA due to oxygen vacancies being removed. By tuning the parameters of FLA ITO films with similar electrical and optical properties to TA samples is achievable. The sequential treatment by TA at low temperatures followed by FLA can be used to achieve better film properties than thermal annealing alone at longer and higher temperatures. The combination or sequential treatment via TA and FLA offers improved film properties and lower processing time. Given FLA performance in creating quality ITO films on glass and its low-temperature nature, it offers an appealing solution for processing ITO films on temperature- sensitive substrates. FLA offers a much faster processing time than TA which makes it especially interesting for production applications. 55 BIBLIOGRAPHY [1] [2] Shahzada Qamar Hussain, et al. “Highly transparent RF magnetron-sputtered indium tin oxide films for a-Si:H/C-si heterojunction solar cells amorphous/crystalline silicon.” Materials Science in Semiconductor Processing, vol. 24, 2014, pp. 225–230, https://doi.org/10.1016/j.mssp.2014.02.044. Schube, Jörg, et al. “Intense Pulsed Light in Back End Processing of Solar Cells with Passivating Contacts Based on Amorphous or Polycrystalline Silicon Layers.” Solar Energy Materials and Solar Cells, vol. 216, 2020, p. 110711, https://doi.org/10.1016/j.solmat.2020.110711. [3] Salil M. Joshi, Gregory W. Book, Rosario A. Gerhardt,. “A Comparative Study of the Effect of Annealing and Plasma Treatments on the Microstructure and Properties of Colloidal Indium Tin Oxide Films and Cold-Sputtered Indium Tin Oxide Films.” Thin Solid Films, vol. 520, no. 7, 2012, pp. 2723–2730, https://doi.org/10.1016/j.tsf.2011.11.052. [4] H. Han, J. W. Mayer, T. L. Alford; Band gap shift in the indium-tin-oxide films on polyethylene napthalate after thermal annealing in air. J. Appl. Phys. 15 October 2006; 100 (8): 083715. https://doi.org/10.1063/1.2357647 [5] Naser M. Ahmed, Fayroz A. Sabah, H.I. Abdulgafour, Ahmed Alsadig, A. Sulieman, M. Alkhoaryef, “The Effect of Post Annealing Temperature on Grain Size of Indium-Tin- Oxide for Optical and Electrical Properties Improvement.” Results in Physics, vol. 13, 2019, p. 102159, https://doi.org/10.1016/j.rinp.2019.102159. [6] [7] “Transparent Conducting Oxide (TCO) Glass Market - Growth, Trends and Forecast (2023 - 2030).” LinkedIn, 360 Research Reports, 6 Oct. 2023, www.linkedin.com/pulse/transparent-conducting-oxide-tco-glass-market-3f. “Transparent Conducting Oxide (TCO) Glass Market Size, Share, Growth, and Industry Analysis by Type (ITO Coated Glass, FTO Coated Glass, Azo Coated Glass) by Application (Flat Panel Display, Solar Battery & Others) Regional Forecast by 2031.” Transparent Conducting Oxide (TCO) Glass Market Size By 2031 | Share, Growth, And Industry Analysis, Mar. 2023, www.businessresearchinsights.com/market- reports/transparent-conducting-oxide-tco-glass-market-103811. [8] Chavan, G.T.; Kim, Y.; Khokhar, M.Q.; Hussain, S.Q.; Cho, E.-C.; Yi, J.; Ahmad, Z.; Rosaiah, P.; Jeon, C.-W. A Brief Review of Transparent Conducting Oxides (TCO): The Influence of Different Deposition Techniques on the Efficiency of Solar Cells. Nanomaterials 2023, 13, 1226. https://doi.org/10.3390/nano13071226 [9] Tuna, Ocal, et al. “High quality ito thin films grown by DC and RF sputtering without oxygen.” Journal of Physics D: Applied Physics, vol. 43, no. 5, 2010, p. 055402, https://doi.org/10.1088/0022-3727/43/5/055402. 56 [10] M Fahland, P Karlsson, C Charton, “Low resisitivity transparent electrodes for displays on polymer substrates.” Thin Solid Films, vol. 392, no. 2, 2001, pp. 334–337, https://doi.org/10.1016/s0040-6090(01)01053-7. [11] C. Nunes de Carvalho, G. Lavareda, E. Fortunato, A. Amaral, “Properties of ito films deposited by r.f.-perte on unheated polymer substrates—dependence on oxygen partial pressure.” Thin Solid Films, vol. 427, no. 1–2, 2003, pp. 215–218, https://doi.org/10.1016/s0040-6090(02)01213-0. [12] Kim, Sung Il, et al. “FLEXIBLE OLED fabrication with ITO thin film on polymer substrate.” Japanese Journal of Applied Physics, vol. 54, no. 9, 2015, p. 090301, https://doi.org/10.7567/jjap.54.090301. [13] H. Kim, J. S. Horwitz, G. P. Kushto, Z. H. Kafafi, D. B. Chrisey; Indium tin oxide thin films grown on flexible plastic substrates by pulsed-laser deposition for organic light- emitting diodes. Appl. Phys. Lett. 16 July 2001; 79 (3): 284– 286. https://doi.org/10.1063/1.1383568 [14] Zardetto, Valerio, et al. “Substrates for flexible electronics: A practical investigation on the electrical, film flexibility, optical, temperature, and solvent resistance properties.” Journal of Polymer Science Part B: Polymer Physics, vol. 49, no. 9, 2011, pp. 638–648, https://doi.org/10.1002/polb.22227. [15] F.O. Adurodija, H. Izumi, T. Ishihara, H. Yoshioka, K. Yamada, H. Matsui, M. Motoyama, “Highly conducting indium tin oxide (ito) thin films deposited by pulsed laser ablation.” Thin Solid Films, vol. 350, no. 1–2, 1999, pp. 79–84, https://doi.org/10.1016/s0040-6090(99)00278-3. [16] J. P. Zheng, H. S. Kwok; Low resistivity indium tin oxide films by pulsed laser deposition. Appl. Phys. Lett. 5 July 1993; 63 (1): 1–3. https://doi.org/10.1063/1.109736 [17] Jin Baek Choi, Jong Hoon Kim, Kyung Ah Jeon, Sang Yeol Lee, “Properties of ITO Films on glass fabricated by pulsed laser deposition.” Materials Science and Engineering: B, vol. 102, no. 1–3, 2003, pp. 376–379, https://doi.org/10.1016/s0921- 5107(02)00625-6. [18] Farid, N, et al. “Improvement of electrical properties of ito thin films by melt-free ultra- short laser crystallization.” Journal of Physics D: Applied Physics, vol. 54, no. 18, 2021, p. 185103, https://doi.org/10.1088/1361-6463/abe2c6. [19] Yalan Hu, Xungang Diao, Cong Wang, Weichang Hao, Tianmin Wang, “Effects of heat treatment on properties of ITO Films prepared by RF Magnetron Sputtering.” Vacuum, vol. 75, no. 2, 2004, pp. 183–188, https://doi.org/10.1016/j.vacuum.2004.01.081. [20] Akbar Eshaghi, Alireza Graeli, “Optical and Electrical Properties of Indium Tin Oxide (Ito) Nanostructured Thin Films Deposited on Polycarbonate Substrates ‘Thickness Effect.’” Optik, vol. 125, no. 3, 2014, pp. 1478–1481, https://doi.org/10.1016/j.ijleo.2013.09.011. 57 [21] Guillot, Martin J., et al. “Simulating the thermal response of thin films during photonic curing.” Volume 7: Fluids and Heat Transfer, Parts A, B, C, and D, 2012, https://doi.org/10.1115/imece2012-87674. [22] Thad Druffel, Ruvini Dharmadasa, Brandon W. Lavery, Krishnamraju Ankireddy,“Intense pulsed light processing for photovoltaic manufacturing.” Solar Energy Materials and Solar Cells, vol. 174, 2018, pp. 359–369, https://doi.org/10.1016/j.solmat.2017.09.010. [23] Ryu, Jongeun, et al. “Reactive Sintering of Copper Nanoparticles Using Intense Pulsed Light for Printed Electronics.” Journal of Electronic Materials, vol. 40, no. 1, 2010, pp. 42–50, https://doi.org/10.1007/s11664-010-1384-0. [24] Abbel, Robert, et al. “Industrial-Scale Inkjet Printed Electronics Manufacturing— Production up-Scaling from Concept Tools to a Roll-to-Roll Pilot Line.” Translational Materials Research, vol. 1, no. 1, 2014, p. 015002, https://doi.org/10.1088/2053- 1613/1/015002. [25] J. Schube, M. Weil, T. Fellmeth, R. Keding and S. W. Glunz, "Intense Pulsed Light Meets the Metallization of Silicon Heterojunction Solar Cells," 2019 IEEE 46th Photovoltaic Specialists Conference (PVSC), Chicago, IL, USA, 2019, pp. 3231-3237, doi: 10.1109/PVSC40753.2019.8981314. keywords: {intense pulsed light;metallization;photonic curing;photonic sintering;silicon heterojunction solar cell}, [26] Kim, Yoonsuk, Seungho Park, Seok Kim, Byung Kuk Kim, Yujin Choi, et al. “Flash lamp annealing of indium tin oxide thin-films deposited on polyimide backplanes.” Thin Solid Films, vol. 628, 2017, pp. 88–95, https://doi.org/10.1016/j.tsf.2017.03.016. [27] Kim, Yoonsuk, Seungho Park, Byung-Kuk Kim, Hyoung June Kim, and Jin-Ha Hwang. “Xe-arc flash annealing of indium tin oxide thin-films prepared on glass backplanes.” International Journal of Heat and Mass Transfer, vol. 91, 2015, pp. 543–551, https://doi.org/10.1016/j.ijheatmasstransfer.2015.07.132. [28] Wünscher, Sebastian, et al. “Progress of Alternative Sintering Approaches of Inkjet- Printed Metal Inks and Their Application for Manufacturing of Flexible Electronic Devices.” J. Mater. Chem. C, vol. 2, no. 48, 2014, pp. 10232–10261, https://doi.org/10.1039/c4tc01820f. [29] Streetman, Ben G., and Sanjay Banerjee. “Energy Bands and Charge Carriers In Semiconductors.” Solid State Electronic Devices Global Edition, Pearson/Prentice Hall, Upper Saddle River, NJ, 2015, pp. 83–132. [30] Kasap, Safa O. Principles of Electronic Materials and Devices. McGraw-Hill Education, 2018. [31] L.Y. Yang, X.Z. Chen, H. Xu, D.Q. Ye, H. Tian, S.G. Yin “Surface modification of indium tin oxide anode with self-assembled monolayer modified ag film for improved 58 OLED device characteristics.” Applied Surface Science, vol. 254, no. 16, 2008, pp. 5055– 5060, https://doi.org/10.1016/j.apsusc.2008.02.012. [32] Awan, Tahir Iqbal, et al. “Chapter 3 Interfaces and Surfaces.” Chemistry of Nanomaterials: Fundamentals and Applications, Elsevier, Amsterdam, Netherlands, 2020, pp. 65–78. [33] Musztyfaga-Staszuk, M, et al. “The influence of material parameters on optical and electrical properties of indium-tin oxide (ITO) layer.” Journal of Physics: Conference Series, vol. 1534, no. 1, 2020, p. 012001, https://doi.org/10.1088/1742- 6596/1534/1/012001. [34] Liang Zhao, Zhibin Zhou, Hua Peng, Rongqiang Cui “Indium tin oxide thin films by bias magnetron RF sputtering for heterojunction solar cells application.” Applied Surface Science, vol. 252, no. 2, 2005, pp. 385–392, https://doi.org/10.1016/j.apsusc.2005.01.033. [35] Vinh Ai Dao, Hyungwook Choi, Jongkyu Heo, Hyeongsik Park, Kichan Yoon, Youngseok Lee, Yongkuk Kim, Nariangadu Lakshminarayan, Junsin Yi, “RF-magnetron sputtered ito thin films for improved heterojunction solar cell applications.” Current Applied Physics, vol. 10, no. 3, 2010, https://doi.org/10.1016/j.cap.2010.02.019. [36] M. Nisha, S. Anusha, Aldrin Antony, R. Manoj, M.K. Jayaraj, “Effect of substrate temperature on the growth of ito thin films.” Applied Surface Science, vol. 252, no. 5, 2005, pp. 1430–1435, https://doi.org/10.1016/j.apsusc.2005.02.115. [37] Shumei Song, Tianlin Yang, Jingjing Liu, Yanqing Xin, Yanhui Li, Shenghao Han, “Rapid thermal annealing of ito films.” Applied Surface Science, vol. 257, no. 16, 2011, pp. 7061–7064, https://doi.org/10.1016/j.apsusc.2011.03.009. [38] Isiyaku, Aliyu & Ali, Ahmad Hadi & Nayan, Nafarizal. Optical Fiber Laser Technology Series 2, “Chapter 6 Indium Tin Oxide Thin Films: an Ideal Transparent and Conductive Oxides Contact For Solar Cells Application”, 2019, pgs 61-75. [39] Song, Pung Keun, et al. “Study on crystallinity of tin-doped indium oxide films deposited by DC Magnetron Sputtering.” Japanese Journal of Applied Physics, vol. 37, no. 4R, 1998, p. 1870, https://doi.org/10.1143/jjap.37.1870. [40] May, C., and J. Strümpfel. “Ito coating by reactive magnetron sputtering—comparison of properties from DC and MF Processing.” Coatings on Glass 1998, 1999, pp. 76–80, https://doi.org/10.1016/b978-044450247-6.50016-3. [41] El Akkad, F., et al. “Effect of substrate temperature on the structural, electrical and optical properties of ITO Films prepared by RF Magnetron Sputtering.” Physica Status Solidi (a), vol. 177, no. 2, 2000, pp. 445–452, https://doi.org/10.1002/(sici)1521- 396x(200002)177:2<445::aid-pssa445>3.0.co;2-n. 59 [42] Wohlmuth, Walter, and Ilesanmi Adesida. “Properties of R.F. Magnetron sputtered cadmium–tin–oxide and indium–tin–oxide thin films.” Thin Solid Films, vol. 479, no. 1– 2, 2005, pp. 223–231, https://doi.org/10.1016/j.tsf.2004.11.186. [43] Kerkache, L, et al. “Physical properties of RF sputtered ito thin films and annealing effect.” Journal of Physics D: Applied Physics, vol. 39, no. 1, 2005, pp. 184–189, https://doi.org/10.1088/0022-3727/39/1/027. [44] George, Joseph, and C.S Menon. “Electrical and optical properties of electron beam evaporated ITO thin films.” Surface and Coatings Technology, vol. 132, no. 1, 2000, pp. 45–48, https://doi.org/10.1016/s0257-8972(00)00726-x. [45] Enhessari, Morteza, and Mardiana Idayu Ahmad. “Chapter 8 Fabrication of Sensors.” Metal Chalcogenide Biosensors: Fundamentals and Applications, Woodhead Publishing, an Imprint of Elsevier, Cambridge, MA, 2023, pp. 146–153. [46] G. Korotcenkov, V. Brinzari, A. Cerneavschi, M. Ivanov, V. Golovanov, A. Cornet, J. Morante, A. Cabot, J. Arbiol, “The influence of film structure on IN2O3 gas response.” Thin Solid Films, vol. 460, no. 1–2, 2004, pp. 315–323, https://doi.org/10.1016/j.tsf.2004.02.018. [47] Rozati, S.M., and T. Ganj. “Transparent conductive sn-doped indium oxide thin films deposited by spray pyrolysis technique.” Renewable Energy, vol. 29, no. 10, 2004, pp. 1671–1676, https://doi.org/10.1016/j.renene.2004.01.008. [48] Rebohle, Lars, et al. “The Technology of Flash Lamp Annealing.” Flash Lamp Annealing: From Basics to Applications, SPRINGER NATURE, Cham, CH, 2020, pp. 100–200. [49] “Rapid Thermal Processing & Annealing Furnaces |.” ECM USA Vacuum Furnace Systems Manufacturer, 21 Mar. 2023, www.ecm-usa.com/ecm-lab-solutions/rtp- rta#:~:text=Rapid%20Thermal%20Processing%20or%20Rapid,of%20a%20few%20minu tes%20maximum. [50] Kubacka, Dorota, et al. “Using rapid thermal annealing for studying early stages of high- temperature oxidation of superalloys.” Superalloys 2020, 2020, pp. 763–770, https://doi.org/10.1007/978-3-030-51834-9_74. [51] Prepelita, Petronela, et al. “Rapid thermal annealing for high-quality ITO thin films deposited by radio-frequency magnetron sputtering.” Beilstein Journal of Nanotechnology, vol. 10, 2019, pp. 1511–1522, https://doi.org/10.3762/bjnano.10.149. [52] Jin-Hyeok Park, Hae-Jun Seok, Sung Hyeon Jung, Hyung Koun Cho, Han-Ki Kim, “Rapid thermal annealing effect of transparent ITO source and drain electrode for transparent thin film transistors.” Ceramics International, vol. 47, no. 3, 15 Sept. 2020, pp. 3149–3158, https://doi.org/10.1016/j.ceramint.2020.09.152. 60 [53] Wilkes, Geroge C, et al. “Laser annealing of tio2 electron-transporting layer in perovskite solar cells.” Applied Materials & Interfaces, 13 Nov. 2018, https://doi.org/10.1021/acsami.8b13740.s001. [54] Hyuk Jin Kim, Min-Jae Maeng, J.H. Park, Min Gyu Kang, Chong Yun Kang, Yongsup Park, Young Jun Chang, “Chemical and structural analysis of low-temperature excimer- laser annealing in indium-tin oxide sol-gel films.” Current Applied Physics, vol. 19, no. 2, 2019, pp. 168–173, https://doi.org/10.1016/j.cap.2018.12.005. [55] Schroder, K.A.. (2011). Mechanisms of photonic curing™: Processing high temperature films on low temperature substrates. Technical Proceedings of the 2011 NSTI Nanotechnology Conference and Expo, NSTI-Nanotech 2011. 2. 220-223. [56] Epp, J. “X-Ray Diffraction (XRD) Techniques for Materials Characterization.” Materials Characterization Using Nondestructive Evaluation (NDE) Methods, Woodhead Publishing Ltd, Cambridge, 2016, pp. 81–122. [57] Thornton, Stephen T., and Andrew Rex. “Chapter 5 Wave Properties of Matter and Quantum Mechanics I.” Modern Physics for Scientists and Engineers, Cengage Publishing, Boston, MA, 2019, pp. 163–166. [58] Ghorannevis, Z., Akbarnejad, E. & Ghoranneviss, M. Structural and morphological properties of ITO thin films grown by magnetron sputtering. J Theor Appl Phys 9, 285– 290 (2015). https://doi.org/10.1007/s40094-015-0187-3 [59] Ding, Zhanlai, et al. “Preparation of Ito Nanoparticles by Liquid Phase Coprecipitation Method.” Journal of Nanomaterials, vol. 2010, 2010, pp. 1–5, https://doi.org/10.1155/2010/543601. [60] Weeks, Eric. Microscopy Resolution, Magnification, Etc, physics.emory.edu/faculty/weeks/confocal/resolution.html#:~:text=The%20best%20resol ution%20for%20an,ability%20to%20locate%20the%20position%22. Accessed 22 Nov. 2023. [61] Zhou, W., Apkarian, R., Wang, Z.L., Joy, D. (2006). Fundamentals of Scanning Electron Microscopy (SEM). In: Zhou, W., Wang, Z.L. (eds) Scanning Microscopy for Nanotechnology. Springer, New York, NY. https://doi.org/10.1007/978-0-387-39620-0_1 [62] Picollo, Marcello, Aceto, Maurizio and Vitorino, Tatiana. "UV-Vis spectroscopy" Physical Sciences Reviews, vol. 4, no. 4, 2019, pp. 20180008. https://doi.org/10.1515/psr- 2018-0008 [63] Spectrophotometry. 13 Feb. 2023, https://chem.libretexts.org/@go/page/1431. [64] Makuła, Patrycja, et al. “How to correctly determine the band gap energy of modified semiconductor photocatalysts based on UV–vis spectra.” The Journal of Physical Chemistry Letters, vol. 9, no. 23, 2018, pp. 6814–6817, https://doi.org/10.1021/acs.jpclett.8b02892. 61 [65] Pierret, Robert F. Advanced Semiconductor Fundamentals. Pearson Education, 2003. [66] Buckeridge, J., et al. “Deep vs Shallow Nature of Oxygen Vacancies and Consequent n- Type Carrier Concentrations in Transparent Conducting Oxides.” Physical Review Materials, vol. 2, no. 5, 2018, https://doi.org/10.1103/physrevmaterials.2.054604. [67] Kim, J., Shrestha, S., Souri, M. et al. “High-temperature optical properties of indium tin oxide thin-films” Sci Rep 10, 12486 (2020). https://doi.org/10.1038/s41598-020-69463-4 [68] Naoto Kikuchi, Eiji Kusano, Hidehito Nanto, Akira Kinbara, Hideo Hosono, “Phonon scattering in electron transport phenomena of Ito Films.” Vacuum, vol. 59, no. 2–3, 2000, pp. 492–499, https://doi.org/10.1016/s0042-207x(00)00307-9. [69] Huh, Myung Soo, et al. “Improving the Morphological and Optical Properties of Sputtered Indium Tin Oxide Thin Films by Adopting Ultralow-Pressure Sputtering.” Journal of The Electrochemical Society, vol. 156, no. 1, 2009, https://doi.org/10.1149/1.3005562. [70] Lee, Ho-Chul, and O Ok Park. “Electron scattering mechanisms in indium-tin-oxide thin films: Grain boundary and ionized impurity scattering.” Vacuum, vol. 75, no. 3, 2004, pp. 275–282, https://doi.org/10.1016/j.vacuum.2004.03.008. 62