DIAMOND POWER ELECTRONICS DESIGN AND FABRICATION INCLUDING ALL DIAMOND AND DIAMOND HETEROSTRUCTURE DEVICES. By Cristian Javier Herrera-Rodriguez A DISSERTATION Submitted to Michigan State University in partial fulfillment of the requirements for the degree of Electrical Engineering - Doctor of Philosophy 2024 ABSTRACT Diamond is one of the most promising semiconductor materials for high power and high- frequency electronic device applications because of its exceptional mechanical, electronic and thermal properties that include wide band-gap, high breakdown electric field, high carrier mobility and high thermal conductivity. All diamond Schottky diodes and field effect transistors, as well as diamond/gallium oxide heterojunction pn diodes, were designed with Sentaurus TCAD simulations and then fabricated and tested. Schottky Barrier Diodes (SBDs) are unipolar devices formed with a potential barrier at a metal- semiconductor interface. SBDs are good for fast switching and they have a low voltage drop in the forward biased regime. Diamond based SBDs were fabricated on layered highly/lightly boron doped (p+/p- respectively) epilayers on diamond substrates. Tested diodes showed good behavior with some non-ideal characteristics. Simulations were done in Sentaurus with a non-ideal metal- insulator-semiconductor interface for the Schottky contact to get agreement of the modeled and measured diode characteristics. Diamond pn devices are promising for ultra-high voltage applications (>10kV), however diamond pn junctions have limitations due to (1) a high turn-on voltage (~5V) giving a significant on-state voltage drop and (2) n-type diamond having higher resistivity and poor ohmic contacts. An alternative n-type ultra-wide bandgap (UWBG) semiconductor with shallow donor dopants is β-Gallium Oxide (β-Ga2O3). Gallium oxide has gained significant attention due to its attractive properties like its wide bandgap (4.85eV) and high breakdown electric field in the range of 8 MV/cm. Diamond’s outstanding thermal properties can serve as a heat spreader for high power operation, which can compensate for the poor thermal conductivity of β-Ga2O3. The combination of p-type diamond and n-type Ga2O3 give the advantages of high thermal conductivity, good diamond p-type conduction, and good Ga2O3 n-type conduction. A pn junction model was developed in Sentaurus that included trap-assisted current flow at the heterojunction interface. Fabricated and tested p-type diamond and n-type Ga2O3 diodes are compared to simulations to understand the current flow mechanisms. Diamond field effect transistors (FETs) can be built in various configurations including lateral metal-semiconductor FETs (MESFETs) and vertical junction FETs (JFETs), which are designed/simulated, fabricated, and tested in this work. The MESFET was tested over a wide temperature range from 300 K to 700 K with the drain current almost constant from 425-700 K. Diamond material models of carrier ionization and mobility versus temperature were used in the Sentaurus simulations. A vertical JFET was designed/simulated, and the fabrication processes were developed. The JFET showed gate control of the drain current, however the device leakage currents were high due to unwanted current conduction in selective area diamond growth regions. Copyright by CRISTIAN JAVIER HERRERA-RODRIGUEZ 2024 To my wife, Mariana Diaz Noriega. Your love and support always keeps me motivated. v ACKNOWLEDGEMENTS I would like to acknowledge all the staff at Michigan State University. Especially to staff of the Electrical and Computer Engineering Department. The ECE cleanroom staff, Brian Wright and Karl Dersh, for providing technical assistance to keep the experimental processes going. I would like to give a special thanks to Dr Timothy Grotjohn, for accepting me in his research group and for being an excellent advisor. Always giving me technical and theorical advice that was of vast importance for my research work. And always giving me motivation by acknowledging the promising results obtained in this study. The staff of Fraunhofer USA played an important role in the development of this study, and I am very grateful to have worked in collaboration with them throughout the PhD program. Division Manager, Michael Becker, the CVD and PVD group. Especially Aaron Hardy, whose extraordinary dedication and knowledge on diamond polishing, laser cutting and diamond CVD growth have always impressed me. I would like to acknowledge Ford Motor Co. for funding several projects in this research work. A big thanks to Atsushi Shimbori, for giving me technical advice on fabrication technologies. And lastly, an acknowledgement to the Defense Advanced Research Projects Agency (DARPA) for funding of a project under the DREaM program (Grant No. N00014-18-1-2032) vi TABLE OF CONTENTS CHAPTER 1. INTRODUCTION ................................................................................................. 1 1.1. Objectives ......................................................................................................................... 2 CHAPTER 2. LITERATURE REVIEW ...................................................................................... 3 2.1. Diamond properties .......................................................................................................... 3 2.2. Ga2O3 properties ............................................................................................................... 5 2.3. Recent progress on diamond Schottky diodes .................................................................. 6 2.4. Recent progress on FET (field effect transistors) ............................................................. 7 2.5. Recent progress on Ga2O3 - diamond heterostructures................................................... 10 CHAPTER 3. MODELING OF SINGLE CRYSTAL DIAMOND ........................................... 13 3.1. Basic concept of a device simulation .............................................................................. 13 3.2. Physical models .............................................................................................................. 15 3.3. Electrical contacts and interface carrier transport mechanism implementation ............. 23 CHAPTER 4. CHEMICAL VAPOR DEPOSITION (CVD) DIAMOND GROWTH .............. 27 4.1. Growth in the presence of atomic hydrogen ................................................................... 27 4.2. Dissociation of carbon-containing source gases ............................................................. 27 4.3. Methane concentration .................................................................................................... 28 4.4. Substrate temperature and total gas pressure .................................................................. 29 4.5. Off-cut angle ................................................................................................................... 29 4.6. Oxygen effects ................................................................................................................ 30 4.7. Boron incorporation in CVD diamond ........................................................................... 31 4.8. MPACVD diamond reactors for boron doping .............................................................. 32 CHAPTER 5. CVD DIAMOND FABRICATION TECHNOLOGIES ..................................... 34 5.1. Surface termination ......................................................................................................... 34 5.2. Electrical contacts ........................................................................................................... 35 5.3. Selective area growth (SAG) .......................................................................................... 37 5.4. Diamond reactive ion etching (RIE) ............................................................................... 38 CHAPTER 6. DIAMOND BASED SCHOTTKY BARRIER DIODE (SBD) .......................... 43 6.1. Device fabrication ........................................................................................................... 43 6.2. Experimental data and model for non-ideal Schottky contact ........................................ 47 6.3. TCAD simulations of diamond SBD .............................................................................. 55 6.4. Conclusions..................................................................................................................... 59 CHAPTER 7. MODELING AND SIMULATIONS OF GA2O3/DIAMOND HETEROJUNCTION PN DIODES ........................................................................................... 60 7.1. Carrier transport in heterojunctions ................................................................................ 61 7.2. Ga2O3/diamond heterojunction diode ............................................................................. 66 7.3. Device fabrication ........................................................................................................... 67 7.4. PN heterojunction IV characterization ........................................................................... 72 7.5. Simulation ....................................................................................................................... 73 vii 7.6. Conclusions..................................................................................................................... 81 CHAPTER 8. BULK CONDUCTION FIELD EFFECT TRANSISTORS ............................... 83 8.1. Operation of junction field effect transistor (JFET) ....................................................... 83 8.2. Operation of MESFET .................................................................................................... 84 8.3. MESFET devices: Experimental and TCAD simulation ................................................ 85 8.4. V-JFET devices .............................................................................................................. 92 8.5. Conclusions................................................................................................................... 110 CHAPTER 9. ACCOMPLISHMENTS AND FUTURE WORK ............................................ 113 9.1. Accomplishments ......................................................................................................... 113 9.2. Future work ................................................................................................................... 116 REFERENCES ......................................................................................................................... 119 APPENDIX A – MATERIAL PARAMETER FILES ............................................................. 126 APPENDIX B – SBD COMMAND FILES ............................................................................. 132 APPENDIX C – HETEROJUNCTION PN DIODE COMMAND FILES .............................. 137 APPENDIX D – MESFET COMMAND FILES ..................................................................... 143 APPENDIX E – V-JFET COMMAND FILES ........................................................................ 152 viii CHAPTER 1. INTRODUCTION As technology advances, the demand of electronic device that outperform the limit of devices fabricated with Silicon. Since for power electronics, it will be required that high power and high frequency electronic devices to operate under wide ranges of temperature effectively. Due to these demands, it has been necessary to perform research and development of different wide band gap (WBG) materials with better properties than Si, like Silicon Carbide (SiC), Gallium Nitride (GaN), gallium oxide (Ga2O3) and diamond. Diamond is considered a material with outstanding electrical and thermal properties. Several figures of merit (FOM) have shown the potential of diamond for high power semiconductor devices. Properties like its radiation hardness and thermal capacities, make diamond a perfect candidate for space applications, since the devices are put through to extreme and harsh conditions. Nevertheless, diamond is in its early stages of research, so many obstacles are needed to overcome, e.g., fabrication process (cvd diamond growth processes, bigger substrates, low defect density, etc.), electrode technology (more reliable, metal-diamond contacts, etc.), optimization of the device design (device architectures, edge termination structures, etc.), etc. Immature fabrication technologies for the growth of single crystal diamond (SCD) for the application of electronic devices, has made other materials like SiC and GaN to have a faster development. Currently SiC and GaN devices have made their debut in the commercial market with architectures such as Schottky diode, Junction Field Effect Transistor (JFET), and Metal- Oxide-Semiconductor Field Effect Transistor (MOSFET). The area of this research is mainly on diamond-based devices for high frequency and high power electronic applications. We centered the study on the development, characterization, analysis, and modelling of diamond-based devices. 1 1.1. Objectives Compared to other wide band gap materials, the theorical calculations of diamond-based devices for high power and high frequency applications estimate that high performing devices can be obtained. Therefore, it is necessary to have an advancement on diamond-based power devices technology to meet the requirements needed for high-performance devices for future applications. The main objectives of this research are to fabricate RF and high-power diamond-based devices, to study and investigate the experimental characteristics of these devices, and to develop accurate modelling and simulations of these diamond devices for their design and analysis. The specific goals are to: • Design, fabricate and characterize diamond Schottky barrier diodes to obtain low power losses at high frequency operation (GHz range) by decreasing the series resistance and junction capacitance. • Design, fabricate and characterize normally-on diamond FETs for high power applications using diamond epilayer growth. The focus is on using a bulk conduction channel to obtain high stability at high temperatures. • Design, fabricate, and characterize Ga2O3-diamond heterojunction PN diodes for high power applications. This includes studying the properties and fabrication techniques of the WBG material Ga2O3 as an alternative to n-type diamond semiconductor material. 2 CHAPTER 2. LITERATURE REVIEW 2.1. Diamond properties Diamond can be considered a near ideal material for high power electronics, with a material breakdown electric field of 10 MV·cm-1 for single crystal diamond and thermal conductivity >2000 Wm-1K-1. The large bandgap associated with diamond of 5.45eV makes it suitable for high voltage operation fulfilling the requirements for operation in extreme environments. Table 2.1. Physical Properties of Si and wide bandgap semiconductors [1]. Diamond also offers a competitive performance for RF frequency applications. In particular, its velocity saturation occurs at 2.7x107 cm·s-1 and its room temperature carrier mobilities that reach values up to 4500 and 3800 cm·V-1s-1 for electrons and holes, respectively, for intrinsic CVD diamond. In Table 1, we compare selected physical properties of diamond with a variety of electronic materials. It’s observed that the intrinsic material properties of diamond can outperform the rest of the materials. In comparison with gallium nitride (GaN), which is a current state-of-the- art semiconductor for high power and high frequency operation, thermal management in diamond is far superior due to a thermal conductivity almost twenty times larger. This means that CVD diamond is a leading semiconductor material for high power and high frequency applications since 3 achieving high temperatures during operation and self-heating can decrease the performance of a device. The major setback using diamond for the fabrication of electronic components is the cost of growth, limited substrate size, difficulties in processing the material, and lack of shallow dopants that limit room temperature operation. There has been steady progress in recent years with diamond growth including the implementation of CVD processes that produce higher quality single crystal diamond. One of the biggest challenges for device fabrication is that no shallow dopants have been discovered for substitutional doping in the lattice. The most successful dopant has been boron to achieve p-type doping, even though it has a high activation energy (0.37eV), requiring large doping concentrations, which lower the carrier mobility. Since diamond has a strong covalent tetrahedral bond in its lattice, there has been limited ability to get dopant elements that give low activation energies. By inserting large atomic size elements, the crystal structure can get distorted, changing the excellent properties of diamond. CVD diamond presents distinctive material properties that in theory should result in extraordinary Figure of Merit (FOM) values (Table 2), which makes this material very appealing for RF electronics applications. Currently, some electronic devices like diodes and FETs have been demonstrated using diamond. Table 2.2. Figures of Merit (FOM) of Si and wide bandgap semiconductors. 4 2.2. Ga2O3 properties There are five different polymorphs of Ga2O3, namely, α-Ga2O3 (rhombohedral), β- Ga2O3 (monoclinic), γ-Ga2O3 (defective spinel), δ-Ga2O3 (cubic), and ε-Ga2O3 (orthorhombic). The most common polymorphs are α-Ga2O3 and β-Ga2O3. The β-Ga2O3 is the most stable polymorph under normal conditions, and the other four polymorphs can be converted into β- Ga2O3 under certain conditions (e.g., above 600 ℃) [2]. Table 2.3. Physical Properties of β-Ga2O3 [2], [3]. Property Band Gap (Eg) Dielectric Constant (εs/ε0) Electron Mass (me/m0) Value 4.85 eV 10.2 0.28 Intrinsic Concentration (ni) 1.79x10-22 cm-3 Electron Affinity (Ea) 4.0 eV Low Field Mobility (µmin) 118 cm2V-1s-1 High Field Mobility (µmax) 50 cm2V-1s-1 Thermal Conductivity (κ) 10.9 W/mK Specific Heat (c) 0.56 J*g-1K-1 β-Ga2O3 is an emerging ultra-wide bandgap semiconductor with a bandgap of ∼4.8 eV and a critical electric field of ∼8 MV cm−1 that can potentially outperform current power device technologies based on GaN and SiC. Another advantage of β-Ga2O3 is the availability of high- quality and potentially low-cost melt-grown wafers. The ever-increasing operating power and frequency of emerging semiconductor device technologies has made thermal management an essential field of research. However for gallium oxide, a major disadvantage of this material is its 5 remarkably low anisotropic thermal conductivity (11–27 W m−1 K−1), which impacts the device performance and reliability under high power density operation. 2.3. Recent progress on diamond Schottky diodes Several diamond based Schottky barrier diode (SBD) architectures have been reported as shown in Fig. 2.1 [4]–[6], which each having different benefits. Vertical SBDs are more convenient for power electronic applications but have some challenges on the growth of free-standing heavily boron-doped diamond substrate. Pseudo-vertical SBDs have total current limitations due to the lateral current flow in the p+ epilayer. Very few diamond-based SBDs have been characterized for RF applications. A RF-DC conversion circuit containing a diamond SBD was fabricated by Toshiyuki et al. [4]. By using a horizontal SBD architecture and hydrogen termination technique, efficient DC signal conversion was obtained for applications like high power rectifiers. Figure 2.1. Schematic of different architectures of diamond Schottky barrier diodes (SBD), a) quasi-vertical SBD, b) vertical SBD and c) hydrogen-terminated horizontal SBD. Diamond bipolar devices are ideal for high-power devices but have less benefits for RF applications than Schottky diodes, since during the grow of n-type diamond, phosphorus has a low incorporation inside the crystal lattice during growth and also because it has a high activation 6 energy (~0.6eV). Since the p-n junction in diamond has a high built-in voltage, high voltage drops are obtained in forward bias. No high frequency characteristics of diamond PN diodes have been reported since they can only be used for low-medium frequency applications, since Schottky barrier diodes are more efficient devices at frequencies f > 10KHz [7]. Nevertheless, diamond based PN diodes may be better suited for high reverse voltages greater than 5-10 kV. 2.4. Recent progress on FET (field effect transistors) Some of the challenges for the fabrication of FET devices are the methods used to create free carriers in the Single Crystal Diamond (SCD) substrate. Several studies [8]–[13] have reported the use of transfer doping and delta doping for the creation of a conduction channel as shown in Fig. 2.2. Transfer doping is a doping mechanism for diamond that does not require the incorporation of doping atoms into the crystal lattice. When a hydrogen terminated diamond surface is exposed to surface adsorbates, electrons from the valence band will transfer to the empty acceptor levels of the surface adsorbates. Thereby, there is a hole accumulation in the diamond valence band and the adsorbates become negatively charged creating a space charge layer that produces an upward band bending at the diamond surface. This layer is typically referred as a two-dimensional hole gas (2DHG) that is responsible for a p-type surface conductivity (~10-3 Ω-1 □-1) with a hole surface charge density in the range of 1012-1014cm-2. Transfer doped FET structures have the capacity to improve device performance for RF applications by scaling the channels length Lg [14] to obtain cut-off frequencies up to ƒT=53GHz and ƒmax=27GHz for a minimum size of Lg =50nm (Range of 250nm to 50nm). Kasu et al. [15] reported a maximum output power density (Pout=2.1W/mm) by performing RF large-signal measurements at 1GHz. These devices have potential for high power-high frequency applications like microwave power amplifiers. 7 Figure 2.2. Schematic of a transfer doped channel FET. Since transfer doping is a very simple technology, most of the reported RF FET results have been obtained with H-terminated induced channel. Even though it is a method that can suffer from instability of the channel, and it degrades at high temperatures [16]. Delta-doping as shown in Fig. 3 is obtained by growing a very thin layer (2nm), of a high boron doped layer, >1020cm-3 [17], [18]. At this high doping level full activation of boron acceptors can be achieved. The maximum sheet carrier concentration in the channel is about ≈1013 cm-2 to allow full charge modulation within the material breakdown limit. This method needs to be improved since low performance due to the low carrier mobility has been shown. A MISFET device reported by H. El-Hajj et al. [11] obtained cut-off frequencies values of approximately ƒT =1GHz and ƒmax =3GHz for a gate length of 4µm. 8 Figure 2.3. Schematic of a delta doped channel FET. Bulk conduction diamond-based JFETs may be more reliable at high temperatures than surface conduction devices, due to the absence of the gate oxide layer and no use of a transfer doped layer. T. Iwasaki et al [19] demonstrated the fabrication of JFETs with the selective growth of n-type diamond epilayer in the (111) direction, see Fig. 2.4. The result was a low leakage current (10-15A) at a temperature of 423K and a steep subthreshold slope of <120 mV/decade at 473K. Figure 2.4. Schematic of the sideview and upper view of a diamond V-JFET using n-type diamond for the gate formation [19]. 9 2.5. Recent progress on Ga2O3 - diamond heterostructures The main challenge for growing diamond on β- Ga2O3 is the lattice mismatch and the substrate decomposition caused by the nature of diamond growth using a microwave plasma CVD technique, which utilizes a H2-plasma as the main reaction catalyzer in the chamber. To grow diamond on a β-Ga2O3 substrate, high-density seeding of diamond nanoparticles (NPs) on the surface is necessary. Malakoutian et al. [20] reported a polycrystalline diamond epitaxial growth on β- Ga2O3 to obtain a device-level thermal management as shown in Fig. 2.5. By utilizing a thin SiO2 interlayer between diamond and β-Ga2O3 along with a low power/ pressure CVD growth a successful epitaxial growth was obtained. Figure 2.5. Interfacial image of the growth of polycrystalline diamond grown on a Ga2O3 substrate. Matsumae et al [21] were able to do a direct bonding between surface-functionalized β- Ga2O3 and single crystal (SC) diamond substrates. By putting in contact OH-terminated β- Ga2O3 and diamond surfaces, bonding was obtained by a thermal dehydration reaction due to an annealing process at 250oC in atmospheric air. The direct bonding between both materials had a shear strength of 14MPa due to chemical bonds between both surfaces. TEM images seen in Fig. 2.6 10 demonstrated that the surfaces of both materials were atomically bonded without any evidence of voids or cracks. Figure 2.6. TEM images of the Ga2O3/Diamond interface after the low temperature bonding process [21]. Using this low temperature direct bonding technique p-type diamond/n-type β- Ga2O3 heterostructures were fabricated by Sittimart et al [22]. The p–n heterojunction with a lightly boron doped diamond substrate (∼1017 cm−3) showed good rectifying action with an on/off ratio of 108, and it possessed an ideality factor of n = 2.7 and qϕb = 1.5 eV, as shown in Fig. 2.7. Figure 2.7. I-V characteristics of Ga2O3/Diamond heterojunction PN diode [22]. The band diagram alignment of the diamond-Ga2O3 diode with ΔEc = 3.5 eV and ΔEv = 2.9 eV was determined. The qVbi = 1.4 eV agreed well with those estimated from I–V (1.5 eV) and C– V (1.4 eV) characteristics. Overall, mechanically exfoliated n-type β-Ga2O3 and p-type diamond heterojunctions exhibited clear evidence of a pn junction device. The low-temperature direct 11 bonding technique has great potential for realizing heterojunctions where epitaxial growth is difficult for materials like diamond and Ga2O3 pn junction electronics. 12 CHAPTER 3. MODELING OF SINGLE CRYSTAL DIAMOND 3.1. Basic concept of a device simulation Synopsys Sentaurus Device Simulator is a software that simulates the electrical behavior of semiconductor devices. In this study, four diamond based electronic devices are designed in the simulator software as 2D devices where the physical properties of the materials and devices are discretized onto a nonuniform mesh. The diagram shown in Fig. 3.1. illustrates the setup of device simulation. Figure 3.1. Diagram with the setup of device simulation. 13 The simulation using TCAD Sentaurus is implemented by designing the device structure, implementing the devices dimensions, the different material that composes the device regions, the electrical contacts and the doping profiles in each region. The definition of physical models in the simulations are needed to achieve precise device simulations. Then the simulator starts solving the equations that govern the carrier transport in the active regions of the simulated device. The equation that are solved during the simulations are the Poisson equation, ∇ ∙ (𝜀𝑠∇𝜓) = −𝑞(𝑝 − 𝑛 + 𝑁𝐷 + − 𝑁𝐴 −) − 𝜌𝑡𝑟𝑎𝑝 ( 1 ) the continuity equations for electron and holes, 𝜕𝑛 𝜕𝑡 = 1 𝑞 𝜕𝑛 𝜕𝑡 = 1 𝑞 𝛻 ∙ 𝐽⃗𝑛 − 𝑅𝑛𝑒𝑡 ( 2 ) ∇ ∙ 𝐽⃗𝑝 − 𝑅𝑛𝑒𝑡 ( 3 ) and the current density equations for electron and holes, 𝐽⃗𝑛 = 𝑞𝜇𝑛𝑛ℰ + 𝑞𝐷𝑛∇𝑛 ( 4 ) 𝐽⃗𝑝 = 𝑞𝜇𝑝𝑝ℰ + 𝑞𝐷𝑝∇𝑝 ( 5 ) where, εs is the permittivity of the semiconductor, 𝜓 the electrostatic potential, q the elementary charge, n and p are the electron and hole concentrations, 𝑁𝐷 + and 𝑁𝐴 − the concentrations of ionized donor and acceptor impurities, 𝜌𝑡𝑟𝑎𝑝 is the surface charge density, Jn and Jp are the electron and hole current densities, Rnet is the net recombination rate, Ɛ is the electric field, 𝜇n and 𝜇p are the electron and hole mobilities, and Dn and Dp the electron and hole diffusion coefficients. The mobilities by the Einstein relations can be related to the diffusion coefficients of electron and holes by the following: 14 𝐷𝑛 = 𝜇𝑛 𝑘𝐵𝑇 𝑞 ( 6 ) 𝐷𝑝 = 𝜇𝑝 𝑘𝐵𝑇 𝑞 ( 7 ) where 𝑘𝐵 is Boltzmann’s constant and T is absolute temperature. The previously mentioned equations are solved by Sentaurus using Newton’s iterative method. Then the electrical characteristics obtained in the simulations can be visualized for analysis and comparison with the experimental data. 3.2. Physical models The simulation of the 2D devices depend significantly on the parameters of the used physical models. Since diamond is not a material that is found in the software, the pre-established physical parameters for Si, are modified for the simulations of diamond devices. 3.2.1. Fermi-Dirac statistics To understand semiconductor devices, it is necessary to understand the band structure of the device. To implement the energy band model in Sentaurus it is necessary to define four parameters: • bandgap of the materials • electron affinities of the materials. • effective density of states for electrons and holes of the materials. Since the band structure for semiconductors is complicated and it is comprised of several discrete energy levels, a gap between these energy levels are created. But only the conduction band and valence band are the energy levels needed for the current flow. In semiconductors, the electron current is comparable to the quantity of free electrons found in the conduction band. The concentration of free electrons can be described, by using the Fermi-Dirac statistic, which indicates the probability f (E) that a electron can occupy certain energy level E and it is given by: 15 𝑓(𝐸) = 1 (𝐸−𝐸𝐹) ⁄ 𝑘𝐵𝑇 1+𝑒 ( 8 ) The occupation tends to zero when the energy level of state E is above the Fermi level EF. When the state E is below the Fermi level EF, there is a probability that the occupation is 1. While the occupation probability at an energy level equivalent to EF is near ½. In TCAD Sentaurus, the electron (n) and hole (p) concentrations in the conduction and valence bands are obtained by 𝑛 = 𝑁𝑐𝐹1 2⁄ [ 𝐸𝐹,𝑛−𝐸𝑐 𝑘𝐵𝑇 ] ( 9 ) 𝑝 = 𝑁𝑣𝐹1 2⁄ [ 𝐸𝑣−𝐸𝐹,𝑝 𝑘𝐵𝑇 ] ( 10 ) where 𝐹1/2 is the Fermi integral of order ½, EF,n and EF,p are the quasi-Fermi energies for electrons and holes. NC and NV are the effective densities of states in the conduction and valence bands. The effective density of states for diamond were implemented in Sentaurus using the following values: 𝑁𝑐 ≅ 1𝑥1020𝑇 3 2⁄ ( 11 ) 𝑁𝑣 ≅ 1𝑥1019𝑇 3 2⁄ ( 12 ) 3.2.2. Bandgap energy and its temperature dependence The bandgap energy EG of a material can be defined as the difference between the bottom of the conduction band EC and the top of the valence band EV: 𝐸𝐺 = 𝐸𝑐 − 𝐸𝑣 ( 13 ) This parameter is dependent on the variation of temperature, since by increasing the temperature, decreases its value. An appropriate model has to be implemented in order to describe the temperature dependence of the band gap. 16 𝐸𝐺 = 𝐸𝐺(0) − 𝛼𝑇2 (𝑇+𝛽) ( 14 ) can be applied, where EG(0) is the bandgap energy at a temperature pf 0 K, and α and β fitting parameters. For diamond the bandgap energy EG(0) is 5.5 eV, α and β are 1.00297 eV/K and 3922915.6K [23], respectively. The obtained temperature dependence of the diamond bandgap is shown in Fig. 3.2. ) V e ( g E p a g d n a B y g r e n E 5.50E+00 5.45E+00 5.40E+00 5.35E+00 5.30E+00 100 200 300 400 500 600 700 800 Temperature (K) Figure 3.2. Bandgap energy Eg vs Temperature (K) for intrinsic CVD diamond. 3.2.3. Incomplete ionization model Since diamond is a wide band gap material (5.5eV) [24] and the mostly studied dopants are deep dopants, incomplete ionization occurs. The ionization energies are 0.37 eV for boron acceptor (p- type dopant) and 0.57 eV for phosphorous donor (n-type dopant). At room temperature, there is low carrier activation since the activation energies are high. This phenomenon is implemented in TCAD Sentaurus for the boron doped diamond by using the incomplete ionization model for different boron concentration values that are under the critical 17 acceptor concentration NAcrit of 2.9x1019cm-3. Boron concentrations values that are above the NAcrit, the conduction inside the lattice changes to hopping conduction, the activation energy decreases rapidly, and there is a rapid decrease of resistivity towards metallic type conduction as shown in Fig. 3.3. Figure 3.3. Resistivity vs boron concentration on CVD Diamond at 300K [25]. So, we suppose that for boron concentration higher than NAcrit, complete ionization occurs. Figure 3.3 shows the effects from the change of diamond resistivity with the variation of boron concentrations. − = 𝑁𝐴 𝑁𝐴 1+𝑔𝐴𝑒𝑥𝑝( 𝐸𝐴−𝐸𝐹 𝑘𝑏𝑇 ( 15 ) ) NA is the acceptor doping concentration, NA - is the value of ionized acceptors, EA is the acceptor ionization energy, EF is the Fermi level, and gA is the acceptor degeneracy factor with Δga = 6meV given by 18 𝑔𝐴 = 4 + 2𝑒𝑥𝑝 ( −∆𝑔𝑎 𝑘𝐵𝑇 ) ( 16 ) EA can be approximated as a temperature independent function with dependence on concentration as given by 𝐸𝐴 = 𝐸𝐴0 − 𝛼(𝑁𝐴 − 𝑁𝐷)1 3⁄ ( 17 ) with ND as the value of concentration of compensating donors, α=3.037x10-8 eV·cm, and EA0 = 0.37 eV. In Fig. 3.4 we can see the variation of the values of the activation energy with different boron concentrations in diamond, if we assume a donor compensation of ND=1x1014 cm-3. ) V e ( y g r e n E n o i t a v i t c A 4.00E-01 3.50E-01 3.00E-01 2.50E-01 2.00E-01 1.50E-01 1.00E-01 5.00E-02 0.00E+00 ND=1x1014 cm-3 1.00E+14 1.00E+15 1.00E+16 1.00E+17 1.00E+18 1.00E+19 1.00E+20 1.00E+21 Boron Concentration (cm-3) Figure 3.4. Activation energy (eV) vs boron concentration on CVD Diamond with a donor compensation of ND=1x1014 cm-3 at 300K. The percentage of ionized boron atoms was calculated with the variation of temperature for boron doped diamond with concentrations of 1x1016cm-3 and 1x1017cm-3 in Fig. 3.5. 19 n o i t a r t n e c n o c g n i p o d d e z i n o I 100 10 ) % ( ND=1x1014 cm-3 Na=1e16cm-3 Na=1e17cm-3 1 200 300 400 500 700 600 Temperature (K) 800 900 1000 Figure 3.5. Boron ionization doping concentration (%) vs Temperature (K) for different boron concentrations, NA=1x1016 cm-3 (blue) and NA=1x1017 cm-3 (orange). It can be observed, at RT the percentage of the ionized atoms is around 1% for both concentrations. For higher temperatures, for example 500K, the percentage of ionized atoms for the higher boron concentration (NA=1x1017 cm-3) is smaller than the percentage of the ionized atoms for the lower boron concentration (NA=1x1016 cm-3). The percentage of ionized boron atoms for the different doping concentrations increase was the temperature gets higher. This change is connected to the change of the Fermi-level due to doping concentration and temperature variation. 3.2.4. Mobility models Concentration dependent hole mobility, µp, values obtained by Hall effect measurements have been reported [25] as shown in Fig 13. It is seen that for intrinsic CVD single crystal diamond, the hole mobility at low doping levels has a maximum of 3800 cm2/V·s. The modeling of doping dependent mobility uses the following expression: 20 𝜇𝑝(𝑁𝐴) = 𝜇0 𝑒𝑥𝑝 (− 𝑝𝑐 𝑁𝐴 ) + 𝜇𝑚𝑎𝑥−𝜇0 𝑁𝐴 1+( ⁄ ) 𝐶𝑟 𝛼2 − 𝜇1 1+( 𝐶𝑠 ⁄ 𝑁𝐴 ) 𝛽2 ( 18 ) where µ0, µ1, µmax, pc, Cr, Cs, α2, and β2 are fitting factors to existing mobility data [26]. These parameters are shown in Table 3.1. Figure 3.6. Hole mobility (cm2/V·s) vs acceptor concentration NA (cm-3) for boron doped CVD diamond [25]. Table 3.1. Hole mobility parameters of the doping dependence model for boron doped CVD diamond [25]. Material Diamond µ0 (cm2/V·s) µmax (cm2/V·s) µ1 (cm2/V·s) Cr (cm-3) Cs (cm-3) α2 β2 pc (cm-3) 105 3815 50 1014 1014 0.61 5.0 0 21 It can be seen in Fig 3.6 that the high hole mobility seen in intrinsic diamond decreases rapidly to only 15% of its maximum value over the doping range of 1012< NA<1016 cm-3. 3.2.5. Impact ionization To simulate the avalanche breakdown phenomena, it is necessary to implement the impact ionization model. It controls the rate of electron-hole pairs that are generated through impact ionization at electric fields of high values. The electron- hole pair generation rate is 𝐺𝑖𝑖 = 𝛼𝑛𝑛𝑣𝑛 + 𝛼𝑝𝑝𝑣𝑝 ( 19 ) where vn(vp) is the electron (hole) velocity and αn(αp) is the ionization rate for electrons (holes), and it indicates the number of electron- hole pairs that are generated by the acceleration of an electron (hole) in the direction of the electric field per unit distance. When the generation rate Gii increases to a high value, avalanche breakdown happens. The impact ionization model used in TCAD Sentaurus is the van Overstraeten- de Man model given in Eq. 20 with Ef as the electric field, an(p) and bn(p) are the impact ionization coefficients for electrons (holes), and the temperature dependent factor is given by γ. Since we are not considering temperature dependent ionization rates, γ is set to 1. 𝛼𝑛(𝑝)(𝐸𝑓) = 𝛾𝑎𝑛(𝑝)𝑒𝑥𝑝 (− 𝛾𝑏𝑛(𝑝) 𝐸𝑓 ) ( 20 ) Currently there is no accurate measurements for values of the impact ionization coefficients for diamond. Different studies have proposed several values. R. J. Trew et al. [27], S. J. Rashid et al. [28] and J. Isberg et al. [29] have proposed different values of the impact ionization coefficients obtained by different measurement methods. These different values of the impact ionization coefficients are summarized in Table 3.2. 22 Table 3.2. Impact Ionization coefficients for CVD Diamond reported in the literature ([27], [13], [24], [27]).0 ap (cm-1) bn (V∙cm-1) bp (V∙cm-1) an (cm-1) R- J. Trew et 1.935x108 1.935x108 7.749x106 7.749x106 al. S. J. Rashid 1.89x105 5.48x106 1.7x107 1.42x107 J. Isberg et al J. Isberg et al - - 0.6x106 4x106 - - 0.8x107 1.1x107 3.3. Electrical contacts and interface carrier transport mechanism implementation This section describes the electrical boundary conditions and necessary parameters to implement the electrical electrodes (Schottky and ohmic contacts) of the simulated device. In addition, a brief description of the application of different transport mechanisms of carriers at heterointerfaces and contacts is provided. 3.3.1. Schottky contacts The boundary conditions for rectifying contacts are the following: 𝜙 = 𝜙𝐹 − 𝛷𝐵 + 𝑘𝑇 𝑞 𝑙𝑛 ( 𝑁𝐶 𝑛𝑖,𝑒𝑓𝑓 ) (21) 𝐽⃗𝑛 ∙ 𝑛̂ = 𝑞𝑣𝑛(𝑛 − 𝑛0 𝐵) 𝐽⃗𝑝 ∙ 𝑛̂ = −𝑞𝑣𝑝(𝑝 − 𝑝0 𝐵) (22) 𝐵 = 𝑁𝐶𝑒𝑥𝑝 ( 𝑛0 −𝑞Φ𝐵 𝑘𝑇 ) 𝑝0 𝐵 = 𝑁𝑉𝑒𝑥𝑝 ( −𝑞Φ𝐵 𝑘𝑇 ) (23) where ϕF is the Fermi potential at the contact, ΦB is the barrier height (the difference between 23 the metal workfunction and the electron affinity of the semiconductor), vn and vp are the thermionic emission velocities (default values are 2.573x106 cm/s and 1.93x106 cm/s, respectively), and n0 B and p0 B are the equilibrium densities. To declare a specific electrode as a Schottky contact, it is necessary to specify certain parameters in the Sentaurus Electrode section as follows: Electrode { ... { Name="Gate" Voltage=0 Schottky Barrier = ΦB/Workfunction } } By declaring “Schottky” on the specified electrode, the boundary conditions are applied to the contact interface. The Schottky barrier height or the metal work function are also declared in the Electrode section. 3.3.2. Ohmic contacts In TCAD Sentaurus, by default any electrode on a semiconductor behaves like an ohmic contact. Since we assume that there is charge neutrality and equilibrium on the ohmic contact we have the following boundary conditions: 𝑛0 − 𝑝0 = 𝑁𝐷 − 𝑁𝐴 (24) 𝑛0𝑝0 = 𝑛𝑖,𝑒𝑓𝑓 2 (25) For Boltzmann statistics, these conditions can be expressed analytically as follows: 𝜙 = 𝜙𝐹 + 𝑘𝑇 𝑞 𝑎𝑠𝑖𝑛ℎ (𝑁𝐷−𝑁𝐴 2𝑛𝑖,𝑒𝑓𝑓 ) (26) 𝑛0 = √(𝑁𝐷−𝑁𝐴)2 4 2 + 𝑛𝑖,𝑒𝑓𝑓 + (𝑁𝐷−𝑁𝐴) 2 (27) where n0, p0 are the electron and hole equilibrium concentrations, and ϕF is the Fermi 24 potential at the contact. To declare a specific electrode as a ohmic contact, it is necessary to specify certain parameters in the Electrode section as follows: Electrode { ... { Name="Source" Voltage=0 } 3.3.3. Interfacial carrier transport mechanisms } There are various mechanisms through which the charge carriers can overcome the energy potential barrier between different materials. The principal transport mechanisms for contact interfaces are thermionic emission and tunneling. In the case of heterojunction interfaces where the band offsets have large values, Trap Assisted Tunneling (TAT) can also become one of the more dominant transport mechanisms. In this section a brief description of the implementation of the different transport mechanisms for different interfaces (heterointerface and contacts) is given. The implementation of these transport mechanisms is achieved by using a nonlocal mesh, which is especially important for modeling tunneling in Sentaurus. 3.3.4. Nonlocal mesh This 1D mesh is known as non-local mesh, and it is used to implement one-dimensional, non-local physical models. This mesh is composed of non-local lines which are subdivided by non-local points or vertex that connects the interface or contact to the original mesh. This mesh permits the discretization of the equations that constitute the physical models. On each vertex the transport equations and the Poisson equation are solved. To declare these 1D mesh, the command “Nonlocal” is specified or the “Math” section of a material-interface or contact. The length of the mesh from the interface to a certain distance inside a material is determined in this section using the command “Length”, and this parameter is 25 specified in centimeters. The parameter “Digits” determines the relative accuracy which Sentaurus computes the integrals. Nonlocal(Length=5e-7) Math(Electrode="Gate") { Digits=4 } 3.3.5. Thermionic emission (TE) model Heterojunction interfaces generally do not follow conventional transport equations, also, the currents and energy fluxes at an abrupt interface of two different materials is better defined by the interface conditions. To enable the TE current model at a heterojunction interface, the command “Thermionic” activates the model for both carriers (electrons and holes). To specify the model for each carrier separately, the keywords “eThermionic” or “hThermionic” serves to activate for electrons and holes emission, respectively. This model is set in the physics section of the heterojunction interface as followed: Physics(MaterialInterface="Ga2O3/Diamond") { eThermionic } 26 CHAPTER 4. CHEMICAL VAPOR DEPOSITION (CVD) DIAMOND GROWTH CVD diamond reactor systems create the chemical and thermal environment needed for diamond growth. Several enhanced CVD methods for diamond growth have been developed, for example, filament-assisted thermal CVD, electron-assisted thermal CVD, laser-assisted thermal CVD, RF plasma CVD, microwave-plasma CVD, combustion flame-assisted CVD, direct-current arc plasma jet CVD, etc [30]. Some common aspects of these methods are described in the following sections. 4.1. Growth in the presence of atomic hydrogen The growth of single crystal diamond films from hydrocarbons (carbon containing species) in the presence of hydrogen occurs by understanding that diamond has more stability in an atomic hydrogen environment as compared to the low stability (fast etching) of graphite. So, the growth process involves getting the conditions on the diamond surface so that sp3 (diamond) bonding occurs in preference to sp2 (graphitic) bonding. The CVD methods are optimized to produce atomic hydrogen from molecular hydrogen close to the surface of the growing film. 4.2. Dissociation of carbon-containing source gases The decomposition of methane on the surface needs a high activation energy (230-243 kJ/mol). So, CVD methods dissociate the carbon containing compounds through thermal, plasma or combustion processes, producing the reactant species needed for diamond growth. In the following section is a brief description of some important parameters that affect the diamond growth using a Microwave Plasma-Assisted CVD (MPACVD) reactor. 27 Figure 4.1. Schematic illustration of a MPACVD reactor. 4.3. Methane concentration The MPACVD diamond reactors at MSU as shown in Fig. 4.1, use methane as the carbon source gas. We define methane concentration as the flow rate ratio of methane gas to hydrogen gas (CH4/H2). The growth rate is strongly dependent on the methane concentration. At typical growth pressure and temperature conditions (150Torr, 1200oC respectively [31]), the growth rate has a linear relationship with the methane concentration having a saturation point at 20% concentration of methane to hydrogen. Below 1% methane concentration, there are often signs of diamond etching. At low pressures around 20 Torr (low or high temperatures 800-1200oC) the growth rate has a quadratic relationship versus methane percentage. As for the quality of the diamond surface, it was observed that the methane concentration plays a role on the surface roughness. In one study [28] the surface roughness was lowest at a 6.4% methane concentration and higher surface roughness occurred as the concentration value further increased. 28 4.4. Substrate temperature and total gas pressure The substrate temperature during diamond growth is an important growth parameter that determines the growth rate and crystalline quality of the homoepitaxial diamond. The typical temperature range is between 600-1200oC, and more optimally 800-1050oC. At higher temperatures, graphite starts to form and at lower temperatures the growth rate is very slow. Plasma conditions in the chamber affect the substrate temperature since it depends on the heat flow from the plasma. At typical MPACVD conditions the gas pressure effects the plasma gas temperature and plasma volume. At low pressures, the dissociation rate is low giving low growth rates, since there is a minimum creation of carbon radicals and atomic hydrogen. By increasing the pressure, the gas temperature increases and due to higher frequency of collisions between molecules the result is higher methane and hydrogen dissociation rates. 4.5. Off-cut angle The growth of diamond is dependent on the crystal face of the growth surface. The most common growth surface is at or near the (100) crystal plane. The off-cut angle θoff shown in Fig. 4.2 specifies the declination angle between the crystallographic plane and the substrate’s growth surface. This parameter affects the growth mode of the diamond. As the θoff increases the growth rate increases, showing a tendency of saturation at a value of ~5o [32]. 29 Figure 4.2. Schematic of the surface of the diamond substrate, a) top view showing the crystalline directions and b) side view indicating value of the off-cut angle with respect to the crystallographic plane (100). To evaluate the crystalline quality of the diamond, steady-state cathodoluminescence (CL) measurements can be performed to obtain the near band-edge emission (5.27eV due to free exciton emission) and time-resolved photoluminescence (PL) measurements for lifetime of excited carriers. Since θoff plays an important role on the epitaxial diamond growth, it was observed that an improvement of the crystalline quality of the grown layers was obtained as the value of the angle increased up to about 3⁰. Having the best results for substrates with (100) surface with the θoff along the [110] direction [33]. 4.6. Oxygen effects Typically, the growth of CVD diamond is carried out with the use of CH4/H2 gas mixtures. However, in some cases the addition of a small amount of oxygen, or an oxygen-containing gas like CO2, can improve the deposition process and deposited diamond quality. It has been reported that the addition of oxygen enhances the quality of the substrate by suppressing and etching nondiamond components formed in the diamond film [34]. Another factor that is critical is that the temperature of the substrate during growth can be lowered with the addition of oxygen [35]. The growth rate is affected by the oxygen incorporation increasing at low oxygen concentrations (<0.05%) and decreasing at higher concentrations [36]. Even though atomic hydrogen plays a 30 critical role in the diamond growth, hydrogen atoms can be incorporated inside the crystalline lattice, affecting the electrical and electronic properties of diamond. Oxygen can suppress the incorporation of hydrogen in the grown diamond film [34]. Point defects caused by the incorporation of unintentional impurities like nitrogen and silicon are seen to be also suppressed by oxygen added to the plasma feedgas [37]. 4.7. Boron incorporation in CVD diamond MSU MPACVD diamond reactors for boron doped diamond growth use diborane as the boron source. Typical boron to carbon ratio in the feedgas (B/C) gas for MSU grown B-doped diamonds for highly boron doped epilayers is about ~3000ppm and for lightly boron doped epilayers is 1- 10ppm of boron in the process feed gas mixture. The boron incorporation inside the epilayer increases in proportion to higher (B/C) gas values, reaching carrier concentrations in the range of 1020 cm-3 [38]. The growth rate starts to decrease at (B/C) gas values higher then 1600ppm, giving an indication that the plasma chemistry has been modified. The crystalline orientation of the substrates also has a strong influence on the boron incorporation, with higher incorporation on (111) and (110) surfaces and lower for (100) [39]. Also a factor that affects the incorporation of boron inside the crystal lattice during growth is the off-angle of the substrate surface [40]. As θoff increases from the (100) crystal plane, the ratio of boron incorporated inside the epilayer also increases for a constant ratio (B/C) gas ratio in the process gas. It has also been seen that by the addition of oxygen addition (0.25%) a decrease of two orders of magnitude of boron concentration can occur [36]. 31 4.8. MPACVD diamond reactors for boron doping The MPACVD diamond systems dedicated for boron doped diamond at MSU are MSU-type resonant cavity style reactors as shown in Fig. 14. The two boron doping diamond reactors have 6kW microwave power supplies that operate at 2.45GHz. They are equipped with a power coupling input through the top of the cavity. The probe and the sliding short are independently adjustable, allowing for the impedance of the internal cavity to be tuned for the reduction of the reflected microwave power. The measurement of the substrate temperature is performed through a side port screened viewing window with a pyrometer. Diamond System 1 (DS1) is a MSU-type diamond deposition reactor assigned for the growth of highly boron doped diamond epilayers. In this system, diluted diborane is employed as the boron source gas for the reactor, using a tank of 1000 ppm of B2H6 in H2. The other process gasses are H2, CH4 and CO2. The boron doping level obtained in this system is typically >1019cm-3. Due to the “reactor memory effect”, unintentional boron doping is obtained in this reactor, so this is an obstacle to get a precise and controllable doping level for the growth of lightly boron doped samples, therefore a different system is needed. Diamond System 6 (DS6) has a similar design as DS1 and is assigned for lightly boron doped diamond epilayers. This system shown in Fig. 4.3 uses as the boron source, diluted diborane with a bottle of 25 ppm of B2H6 in H2. To obtain lower nitrogen levels in the system, research grade hydrogen is used in the process gas with a purity of 99.9999%. This is connected to a hydrogen purifier that uses a palladium membrane to achieve a purity of 99.9999999%. The other process gases are Ultra high purity CH4 and O2. The boron concentration level in the diamond that is grown in this system is 1015-17cm-3 32 Figure 4.3. Diamond System 6 (DS6) MPACVD reactor for lightly boron doped diamond growth. 33 CHAPTER 5. CVD DIAMOND FABRICATION TECHNOLOGIES Diamond fabrication technologies are not as mature as technologies for other WBG semiconductors like GaN and SiC. This is because they have been studied profoundly, like their material properties and fabrication processes. In this following section, some of the diamond fabrication technologies used for this study will be discussed and analyzed. And also some conditions used in the fabrication processes of this study will be described. 5.1. Surface termination Diamond can exhibit very attractive surface properties that may include its biocompatibility and a range of electronic properties of its surface. However, these properties are easily affected by changing the surface chemistry. Given its importance, knowing how to control and understand the properties of the surface terminations is needed to implement diamond based electronic devices. The surface properties, such as work function, electron affinity and the surface conductivity of hydrogen and oxygen terminated diamond (H- and O- diamond) surfaces have been reported [41]. The hydrogen terminated surface (Fig. 5.1a) is very stable since it has few dangling bonds on the surface. It is normally obtained by exposing the surface to a hydrogen plasma. Hydrogen- terminated diamond refers to a type of diamond material with C‒H bonds on the surface of the diamond, resulting in a negative electron affinity of between -1.3 to -0.4 eV for diamond samples with a (100) surface. Further, when this surface is exposed to air, NO, NO2, O3 or SO2, it develops a surface conductivity that is attributable to an interface dipole due to a surface transfer doping process. In particular a negative charge on the surface induces an accumulation of holes near the surface and the diamond surface becomes conductive. 34 Figure 5.1. Band diagram of diamond surface with different terminations, a) hydrogen termination and b) oxygen termination. The oxygen terminated surface (Fig. 5.1b) has a positive electron affinity and also passivates and isolates the surface between the electrical contacts for device fabrication. Oxygen-terminated surfaces are usually obtained by a wide range of treatments such as acid treatments, oxygen plasma treatment, or vacuum ultraviolet (VUV)/ozone treatment. This process enhances the performance of the devices by decreasing the leakage current and increasing the Schottky barrier height, which we will discuss in the next section. The simplest and most used method for oxygen termination is an nitric/sulfuric or similar acid bath for the fabrication of diamond power devices. The termination by a VUV/ozone treatment tends to be a more controlled process that improves the quality of the interfaces of the electronic devices. In the case of plasma oxidation, this process becomes attractive when combining it with a plasma etching process. The downside is that it is known to induce defects, leading to the degradation of surface and electrical properties. Overall, some experimental results have showed that sp2 carbon phases are being created during the oxidation processes using any of the oxidation methods. [42]. 5.2. Electrical contacts For diamond electronics high quality contacts are required. Due to surface depletion, most of the metal contacts on semiconductor materials have a non-ohmic behavior. In diamond, increasing the 35 doping concentration is generally needed to achieve ohmic characteristics. The contact resistance is lowered and there is an increase of tunneling through the Schottky barrier due to the reduction of the depletion width. Carbide-forming metals, such as Ti, Mo and Ta ([43], [44]) have also been used for ohmic contacts on p-type diamond as shown in Fig. 5.2, by performing an annealing process to create the carbide. This annealing process enhances the conduction through the areas in which the metal-carbide formation has been achieved. Metals like Au, Pt, Pd and Ir ([45]–[47]) have shown an ohmic behavior on H-terminated diamond surfaces due to the 2D p-type surface conductivity. Figure 5.2. Band diagram of a metal-diamond interface with ohmic contact behavior due to two different mechanisms, a) tunneling due to reduction of the depletion layer and b) lowering of the barrier height. To obtain Schottky contacts on diamond, different metals have been used, for example non carbide-forming metals like Au, Al, and Pt and carbide-forming metals like Mo and Zr. Typically, the Schottky barrier height has little dependence on the properties of the metal contact, such as work function and electronegativity. This is believed due to a large number of surface states pinning the Fermi level. Surface termination of the drift layer has showed a dependence on the contact barrier height value. When hydrogen terminated, the surface becomes conductive and the Schottky barrier height was lower and the leakage current was high, as compared with an oxygen terminated surface [48]. When oxygen terminated the oxygen atoms are adsorbed at the surface 36 creating surface states that pin the Fermi level to a value typically of 1.7eV (Fig. 5.3) above the valence band maximum (VBM) [49], [50]. A downward bend bending is achieved by a depletion layer created at the surface. Figure 5.3. Band diagram of metal-diamond interface for Schottky contact. Due to Fermi level pinning a barrier of 1.7eV is created at the depletion layer. 5.3. Selective area growth (SAG) Selective area growth is a technique employed to grow an epitaxial layer on specific localized surface areas of a semiconductor substrate. Typically, the use of a dielectric layer is employed to mask the surface where epitaxial growth is not wanted. The most common materials used for SAG are SiO2 and Si3N4, which are usually patterned by a lithography and etching process. The epitaxial growth conditions should meet the requirements to selectively grow on the exposed substrate surface and not damage or grow on the mask material. SAG is a useful technique for fabricating semiconductor devices. For example, it has been shown to work for the growth of GaN on Si substrates using a SiO2 mask [51]. Single crystal diamond has been selectively grown by using a SiO2 mask in an Point-Arc Remote Plasma CVD system [52] on (100) diamond substrates. Secondary ion mass spectroscopy (SIMS) 37 measurements of the grown layer indicated that the impurity concentration of the grown layer was under the detection level. Also since the growth rate was low (50nm/hr), this process gives total control of the thickness, obtaining a repetitive growth process Other materials have also been studied to achieve SAG of CVD diamond, for example the use of chemically stable metals that have high melting points and good adhesion to diamond. Heavily boron doped epilayers have been selectively grown using a Ti/Au mask layer on a (111) diamond substrate [53]. A 40nm epilayer was grown using these mask materials. Another study showed that Mo/Au and Ru/Au masks withstand the growth conditions better than the Ti/Au mask, achieving thicknesses above 18µm [54]. Another method used for CVD diamond SAG was demonstrated by Kato et. al [55] by growing a diamond n+ epilayer with a (111) growth direction in selective trench areas on a (100) substrate. It was shown that by characterizing the conditions of the CVD diamond growth process, the epilayer had a preferential crystal orientation growth direction. This minimized any surface contamination in the SAG process by not incorporating a mask but limiting the growth in opening trenches on the (001) direction. By growing a phosphorus doped epilayer on the (111) orientation, the phosphorus incorporation achieves a doping concentration of ~1020 cm-3. This process allowed them to obtain a lower contact resistance electrode on n-type diamond using a (100) substrate. 5.4. Diamond reactive ion etching (RIE) Reactive ion etching (RIE) is a fabrication method frequently used for the definition of precise micron/sub-micron scale patterns, such as in microelectronics, micro-optics and photonics. Inductively coupled plasma (ICP)-RIE sources deliver higher etching rates because its plasma density is two orders of magnitudes higher than RIE sources. This gives the ICP-RIE technique the capacity to deliver higher etch rates, good selectivity of diamond etch rate in relation to the 38 mask etch rate, and lower surface damage. Excellent profile control is also provided as the plasma can be maintained at low pressures. 5.4.1. Gas chemistry The selection of reactive species plays an important role for the performance of the etch process. O2 based recipes are the most used for diamond. Fundamentally, the oxygen radicals create volatile products, for example, CO2 and CO, after reacting with the C atoms of the diamond surface. But, since its merely a chemical process, it is beneficial to integrate heavier ion species, like Ar+, to obtain a physical etching process by ion bombardment of the substrate surface. Apart from O2 based recipes, Cl2 based etching processes have been used in order to obtain smoother surfaces in combination with Ar[56]. This gas chemistry enables the reaction between the carbon on the surface and Cl2, creating CClx volatile products. In comparison with an O2/Ar etching process it was shown that Cl2/Ar based recipes obtained better surface quality ([57]). Besides, O2 and Cl2, SF6 reactive species gives a less-toxic and less-corrosive process, compared with alternative gases like CF4 and CHF3. These reactive species create volatile CFx products which are used to eliminate any micromasking effects and to obtain smooth surfaces as seen in Fig. 5.4 [58]. It has been seen that SF6-based etching recipes give a high anisotropic etching profile ideal for SCD substrate thinning. 39 Figure 5.4. Surface roughness comparison without (top row) and with (bottom row) the presence of SF6. The photos are grouped according to type of masking layer from left to right [40]. 5.4.2. RIE etching parameters Chamber process pressure and temperature are very important parameters that have an influence on the etch rate, anisotropic profile and the roughness of the etched surface. Low pressure etching has a high anisotropy outcome, since there is a higher number of ions bombarding the surface. However, higher pressures can give higher etch rates [59] until it reaches a chemical-limited regime that reduces the etch rate due to decreases of the Ar ion density and energy. The variation of the temperature of the sample chuck can affect the surface of the substrate, since at higher temperatures micromasking effects were more notable [60]. ICP-RIE systems are considered high density plasma systems, given that they have two sources of plasma power (ICP source and substrate bias source). The ICP power source controls the plasma density and the ion flux density, while the substrate bias power source varies the voltage between the substrate and the plasma controlling the anisotropy of the etching process. The most common 40 power values for the ICP source is from 100-2000W, while for the substrate bias source it is around 0-400W. Also it has been demonstrated that by incorporating an ICP source, the etch rate and material selectivity of SCD etching can be improved. 5.4.3. Mask materials Shallow etched patterns of SCD substrates can be achieved using different mask materials including photoresist, oxides, Si and metals with each giving different etch selectivity [57], [58], [61]. The most used mask materials are Al and SiO2. Aluminum has a high selectivity and is ideal for deep etching processes that give high aspect ratios etch features. Good results are also seen with SiO2 using an O2 based RIE recipe [62]. PECVD grown materials like SiO2 and Si3N4 serve also as a sidewall protection layer for a quasi- isotropic undercut etching for microdisk devices [63]. Given that by using a CVD system, these mask materials can be grown conformally across the entire surface. Other mask materials like Ni and Cu have been studied using a SF6/O2 based RIE recipe for high anisotropic etching of SiC. The highest selectivity was measured with Cu since it’s a much more erosion resistant material [64] For this study, a diamond RIE etching recipe was optimized for an anisotropic etching profile and near zero micromasking effects. The system used was a Trion Technology Phantom ICP RIE system capable of using oxygen and fluorine based recipes. As a hard mask material, a stack of Ti/Cu was used for an O2/Ar/SF6 based etching recipe, using an ICP power of 600W and an RIE power of 50W at a pressure of 10mTorr, giving an etch rate of approximately 70nm/min. 41 5.4.4. Etching surface damage To fabricate high power diamond devices with optimal performance, the interfaces must be ideal or optimized. Often the electrical characteristics of the surface of the SCD substrate gets deteriorated after a RIE etch. For example, plasma etching of SCD by ICP-RIE with an applied substrate bias creates a damaged layer of approximately 2nm [65] as shown by X-Ray photoelectron spectroscopy. It showed that after the etching process, the surface was contaminated with fluorine and oxide species. By reducing the substrate bias to 0W (soft etching), the damaged layer was reduced and what remained was able to be removed by an annealing and acid cleaning process. 42 CHAPTER 6. DIAMOND BASED SCHOTTKY BARRIER DIODE (SBD) Schottky barrier diodes are used in several applications due to their fast-switching time, fast reverse recovery time and low forward voltage drop. The fast operation is due to Schottky diodes being a majority carrier device. Figure 6.1. Schematic of a quasi-vertical Schottky barrier diode. The schematic of the quasi-vertical diamond-diamond based SBD is shown in Figure 6.1. It’s composed of a p- epilayer (drift layer) and a p+ epilayer, and the electrodes are on opposing sides of the device. The p+ epilayer has the function of being the region that injects carriers to the p- epilayer, and the drift layer has the function to support the electrical field when a reverse voltage is applied. Since boron dopants in diamond have high acceptor ionization energies, there tends to be low carrier concentrations for the current conduction happening in the drift layer, therefor high resistivity is obtained at room temperature. However, at higher temperatures and when operating in a space charge limited current regime the current flow is higher. 6.1. Device fabrication The SBD of Fig. 6.1 was designed and fabricated as shown in Fig. 6.2. The various processing step details are given in the following sub-sections. 43 Figure 6.2. Fabrication process flow of the quasi vertical Schottky barrier diode with RF feeds. A) Substrate preparation and epilayer growth. A SCD type-Ib wafer was purchased, which was grown via a high-pressure-high-temperature (HPHT) synthesis process. Lateral dimensions are 5x5 mm2, with a 3o off-cut angle in the (100) surface orientation for the top surface. To reduce the average surface roughness, the wafer is mechanically polished on a cast iron polishing wheel seeded with 5-10 µm grain size diamond powder and olive oil with a polishing pressure of 400 kPa and a contact speed of 30 m/s. After the polishing of the surface a plasma etch process (Ar/SF6) was performed to etch 3µm from the surface to remove any sub-surface damage caused by the polishing. An acid cleaning process is conducted on the SCD wafer prior to its loading in each diamond deposition reactor. The heavily boron-doped epilayer is grown on the HPHT substrate and a lightly boron-doped epilayer is grown subsequently. The p+ epilayer growth was performed at a substrate temperature of 880oC at 240 44 Torr with a boron gas phase concentration (B/C) of 2900 ppm and 3% methane in the overall hydrogen feed gas. The resistivity was measured using a four-point probe station (HP 4145B semiconductor parameter analyzer), obtaining a value of 1.18x10-2 Ω·cm for an effective boron concentration of approximately 1x1020 cm-3 for an epilayer thickness of 1500nm. The p- epilayer growth was performed at a pressure of 90 Torr and substrate temperature of 800oC. The boron concentration in the gas phase was 1.4 ppm (B/C) and 5.3% methane in the process gas. The growth time was 30 min, which produced a thickness of 500 nm. The boron concentration was measured with a commercial SIMS service (EAG labs), obtaining a value of approximately 5x1016 cm-3. B) Diamond dry etching for mesa structures In order to obtain the mesa structures of the grown epilayers (p-/p+) as shown in Fig. 6.2b-c, a diamond etching process was carried out through an Ar/SF6 plasma process using Al as a hard mask for selective etching on the diamond surface. The reactive ion etcher (RIE) plasma power and pressure was 600 W and 125 mTorr, respectively, using Ar/SF6 (6sccm/2sccm) for the process gas. An etching rate of 200nm/min was attained. C) Oxide layer A layer of SiO2 (Fig. 6.2d) is grown on the surface of the sample using a PlasmaLab 80 plus PECVD System from Oxford at a pressure of 1000 mTorr and a temperature of 300OC. Applying an HF Power of 20 W in an SiH4/N2O ambient, an oxide thickness of 700 nm was obtained in 14 min. This oxide layer serves as an insulator that prevents the Schottky pad from coming in contact with the p+ mesa structure. A lithography process using a positive photoresist (S1813), and a wet etching process using a Buffered Oxide Etchant (BOE), was carried out to obtain the desired oxide pattern. 45 D) Electrical contacts The ohmic contact (Fig. 6.2e), which consists of a Ti/Au (10nm/200nm thick) layer, was deposited using a DC Magnetron Sputtering system. A negative tone photoresist (AZ nLOF 2020) was used in the photolithography process to perform the liftoff technique of the sputtered layer that produced the defined metal contact patterns covering the p+ mesa structure. A thermal annealing process was done under vacuum at 600 °C for 1hr to achieve a low contact resistance carbide formation at the metal-diamond interface. An oxygen plasma treatment was applied to the surface of the diamond sample in order to oxygen terminate the surface prior to the deposition of a Mo/Au (20nm/200nm thickness) layer for the Schottky contact (Fig. 6.2f). The same lithography method was used, as previously mentioned for the ohmic contact, to define the patterns for the Schottky contacts on the p- mesa structure. E) Gold electroplating of the RF feeds In order to reduce signal attenuation for the RF transmission lines as shown in Fig. 6.3, a gold electroplating process was used to achieve low contact resistance. Prior to the electroplating, a seed layer of Ti/Au (10-nm/100-nm thick) was sputtered, and a lithography process was performed using negative photoresist (AZ nLOF 2020) to serve as a mold. A commercially available gold sulfite-based solution was used to electrochemically deposit a 3-4µm thick gold film using a power supply with a constant current density of 3x10-5 A/mm2 with respect to the total area to be grown and a stirring velocity of 350 rpm. A platinized titanium mesh was employed as the anode electrode and the test sample as the cathode electrode. The Ti/Au seed layer on the region not electroplated was removed using aqua regia (HCl/HNO3 3:1) as the gold etchant and hydrogen peroxide with ammonium hydroxide (H202/NH4OH, 2:1) as the titanium etchant. 46 Figure 6.3. Optical images of the Schottky diode before (a) and after (b) the gold electroplating process for the transmission lines. 6.2. Experimental data and model for non-ideal Schottky contact The diamond SBD was designed with the help of Xenofon Konstantinou [66] using Ansys HFSS (Fig 6.4) in order to calculate the width of the transmission lines to attain a 50-Ohm resistance on the lines (calculated using ADS LineCalc). The design was exported to AutoCAD to create the photomask files. Figure 6.4. CAD design of the SBD (left image) and the SBD with RF Feeds (right image) using Ansys HFSS. After completion of the fabrication process for the diamond SBD, the IV characteristics were performed using an Agilent B1500A Semiconductor Device Parameter Analyzer. Given that the 47 Schottky contact area is 1300µm2, a current density of 1.5x103A/cm2 at 10V, a rectification ratio of 7x107 and an ideality factor of n=2.62 was obtained, as observed in Figs. 6.5-6.6. ) A ( t n e r r u C 2.50E-02 2.00E-02 1.50E-02 1.00E-02 5.00E-03 0.00E+00 -10 -8 -6 -4 -2 2 0 Voltage (V) 4 6 8 10 Figure 6.5. I-V Characteristics of the Schottky diode (linear scale). ) A ( t n e r r u C 1.00E+00 1.00E-01 1.00E-02 1.00E-03 1.00E-04 1.00E-05 1.00E-06 1.00E-07 1.00E-08 1.00E-09 1.00E-10 1.00E-11 1.00E-12 1.00E-13 n=2.62 -10 -8 -6 -4 -2 2 0 Voltage (V) 4 6 8 10 Figure 6.6. I-V Characteristics of the Schottky diode (semilog scale). 48 From Fig 6.6, it can be noticed that after the turn on voltage (Vt ≈3V), the forward IV curve has a quadratic behavior indicating that the forward current is controlled by space charge limited currents (SCLC). SCLC is described by Mott-Gurney V2 law which gives the J-V relation as follows: 𝐽 = 9 8 𝜇𝜀𝜀0 𝑉2 𝑑3 (28) A log-log plot of the current density-voltage data is a common method to monitor the current regimes by considering the slope, m, of the J-V curve [67]. 𝑚 = 𝑑 𝑙𝑜𝑔 𝐽 𝑑 log 𝑉 (29) Given equation (29), the current density will depend on the voltage with the following: 𝐽 ∝ 𝑉𝑚(𝑉) (30) IF = Vm Ohmic (m≈1) Trap-Filled-Limited (m≈29) Space-charge- limited (m≈2) ) A ( t n e r r u C g o l 1.00E+00 1.00E-01 1.00E-02 1.00E-03 1.00E-04 1.00E-05 1.00E-06 1.00E-07 1.00E-08 1.00E-09 1.00E-10 1.00E-11 1.00E-12 0.1 1 log Voltage (V) 10 Figure 6.7. log Current vs log Voltage characteristics of the diamond SBD diode . The current– voltage scaling transitions from the low-bias Ohmic regime, trap-filled-limit SCLC, and finally, trap-free MG SCLC at sufficiently high bias voltage such that the trap states are completely filled and no longer affect the current conduction. 49 The log-log IV curve (Fig. 6.7) demonstrates that at voltages VF <2V there is an ohmic relationship (I ∝ V). At VF ≥ 2V the current rises quickly with a relationship of I ∝ Vm (m≈29) due to the trap-filled-limited (FTL) model[63]. Then, at about 3.5 V, there is a square law dependence of current on voltage, I ∝ V2 due to the V2 law (space charge limited mode). The J-V relation for the trap-filled limited region can be related to the V2 equation by incorporating the parameter, θ, which is the ratio of free charge carriers (nfree) to the total charge carriers (ntotal) given by: 𝜃 = 𝑛𝑓𝑟𝑒𝑒 𝑛𝑡𝑜𝑡𝑎𝑙 = 𝑁𝑣 𝑁𝑡 𝑒𝑥𝑝 ( 𝐸𝑡 𝑘𝑇 ) (31) Where, Nt is the total trap density, Nv is the density of states in the valence band and Et is the energy level of the shallow trap. By combining eq.(28) and (31), the J-V relation for a metal- semiconductor diode with single energy level trap with energy Et is given by: 𝐽 = 9 8 𝜇𝜀𝜀0𝜃 𝑉2 𝑑3 (32) The presence of trap like energy states in the SBD could be due to imperfections, like charges or interface states at the metal-diamond interface, yielding in Fermi level pinning or Schottky barrier height inhomogeneities. These behaviors can be analyzed by using the theoretical background of the metal-insulator-semiconduction (MIS) model, by the formation of an interfacial layer on Schottky contacts [68], [69]. 6.2.1. Surface potential and barrier height expressions for the MIS model The band diagram of a p-type MIS diode in equilibrium is show in Fig 6.8 [24], where Eg is the semiconductors band gap, qϕm is the metal work function, qχ is the electron affinity of the semiconductor, Vp is the potential difference between the valence band level and the Fermi level, ψs is the surface potential, Δ is the potential drop across the interfacial layer, δ is the thickness of the interfacial layer, V is the forward bias, qϕB0 is the barrier height at equilibrium, and qϕ0 is the neutrality level of the interface states. 50 Figure 6.8. Energy band diagram of the MIS model for Schottky contacts at equilibrium (Vf =0) Expressions for the barrier height and surface potential for MIS structures have been elaborated in [70]. From the band diagram in equilibrium (Fig 6.8) we have that: ∆= [ 𝐸𝑔 𝑞 + 𝜒 − 𝜓𝑠(𝑉) − 𝑉𝑝 − 𝑉 − 𝜙𝑚] (33) Using Gauss law we obtain Δ = − 𝛿 𝜀𝑖 [𝑄𝑠𝑐(𝑉) + 𝑄𝑖𝑡(𝑉) + 𝑄𝑓] (34) where Qf(V) is the fixed surface charge density (C/cm2) on the semiconductor surface, Qit(V) is the interface state charge density (C/cm2) at the semiconductor interface and Qsc(V) is the space charge density (C/cm2) in the semiconductor depletion layer. The space charge density Qsc(V) can be expressed as follows: 𝑄𝑠𝑐(𝑉) = −[2𝑞𝜀𝑠𝑁𝐴(𝜓𝑠(𝑉) − 𝑉2)]1 2⁄ (35) where NA is the acceptor carrier concentration (cm-3), εs is the permittivity of the semiconductor, and V2 is kT/q. If the assumption that the distribution of interface states and fixed charge is uniform, the charge densities Qit(V) and Qf(V) are given by 𝑄𝑖𝑡(𝑉) = −𝑞𝐷𝑖𝑡[𝑞𝜓𝑠(𝑉) − 𝑞𝑉𝑝 − 𝑞𝜙0] (36) and 51 𝑄𝑓 = 𝑞𝑁𝑓 (37) Where Dit is the density of acceptor like interface states (states/cm2eV) and Nf is the density of fixed surface charge (cm-2). If the assumption that Nf=0 is made. We can obtain the surface potential using equations (33)-(36): 𝜓𝑠(𝑉) = 𝑐2 ( 𝐸𝑔 𝑞 + 𝜒 − 𝜙𝑚) + (1 − 𝑐2)𝜙0 − 𝑐2𝑉 − 𝑉𝑝 + 2) (𝑐1𝑐2 2 − 1 2 [4𝑐1𝑐2 2 (𝑐2 ( 𝐸𝑔 𝑞 + 𝜒 − 𝜙𝑚) + (1 + 𝑐2)𝜙0 − 𝑐2𝑉 − 𝑉𝑝) + 𝑐1 2𝑐2 4 − 4𝑐1𝐶2 2𝑉2] 1 2⁄ (38) where c1 and c2 are given by 𝑐1 = 2𝑞𝜀𝑠𝑁𝐴𝛿2 2 𝜀𝑖 𝑐2 = 𝜀𝑖 𝜀𝑖+𝑞2𝛿𝐷𝑖𝑡 (39) (40) An approximation of the surface potential can be obtained for lightly doped semiconductors and a low forward bias as 𝜓𝑠(𝑉) ≈ 𝑐2 ( 𝐸𝑔 𝑞 + 𝜒 − 𝜙𝑚) + (1 − 𝑐2)𝜙0 − 𝑐2𝑉 − 𝑉𝑝 (41) Figure 6.9. Energy band diagram of the MIS model for Schottky contacts at a low forward bias (Vf >0) 52 Fig. 6.9 shows the band diagram of the MIS model for the mentioned conditions, where the effective barrier height is dependent on the forward bias voltage and its given by: 𝜙𝐵(𝑉) = 𝑉 + 𝑉𝑝 + 𝜓𝑠(𝑉) (42) By combining eqs (41 and 42), we obtain 𝜙𝐵(𝑉) = 𝑐2 ( 𝐸𝑔 𝑞 + 𝜒 − 𝜙𝑚) + (1 − 𝑐2)𝜙0 + (1 − 𝑐2)𝑉 (43) 6.2.2. Current-voltage (I-V) characteristics of the SBD The equation [24] for the thermionic emission current is given by: 𝐼 = 𝐼0𝑒𝑥𝑝 ( 𝑞𝑉 𝑛𝑘𝑇 ) [1 − 𝑒𝑥𝑝 (− 𝑞𝑉 𝑘𝑇 )] (44) where n is the ideality factor and I0 is the saturation current which is defined by: 𝐼0 = 𝐴𝐴∗𝑇2𝑒𝑥𝑝 (− 𝑞𝜙𝐵0 𝑘𝑇 ) (45) where A is the area of the diode contact, A* is the effective Richardson constant for diamond which is given by A* = 2πqm*k2/h3 =96 A/cm2K2 [71] with m*=mh,dos = 0.8m0 [72], and the Schottky barrier height at zero bias (ϕB0). Assuming that the interface state density has a non-uniform distribution, the ideality factor will be dependent to the forward bias by: 𝑛(𝑉) = 𝑞 𝑘𝑇 𝑑(𝑉) 𝑑(ln𝐼) = 1 1−𝛽(𝑉) (46) where β(V) expresses the relation of the effective barrier height with respect to the bias voltage, and its given by: 𝛽(𝑉) = 𝑑𝜙𝐵 𝑑𝑉 (47) So, the bias dependent effective barrier height is the following 𝜙𝐵(𝑉) = 𝜙𝐵0 + 𝛽(𝑉)𝑉 = 𝜙𝐵0 + ( 1 1−𝑛(𝑉) ) 𝑉 (48) 53 In the case where the interface states are in equilibrium with the semiconductor, the ideality factor is specified by 𝑛(𝑉) = 1 + 𝛿 𝜀𝑖 [ 𝜀𝑠 𝑊(𝑉) + 𝑞𝐷𝑖𝑡(𝑉)] (49) where the depletion layer width at the semiconductor is stated by 𝑊(𝑉) = [ 2𝜀𝑠 𝑞𝑁𝐴 (𝜓𝑠(𝑉) − 𝑉2)] 1 2⁄ (50) By combining eqs (40-49) the ideality factor is given by 𝑛(𝑉) = 1 𝑐2(𝑉) + 𝛿 𝜀𝑖 𝜀𝑠 𝑊(𝑉) (51) By assuming that the dielectric constants εs and εi are of a similar magnitude, and the interface thickness δ is much less than W(V), we can get an approximation of the ideality factor for these conditions by 𝑛(𝑉) ≈ 1 𝑐2 (𝑉) (52) Considering equations (43) and (48) the barrier height at zero volts is 𝜙𝐵0 = 𝑐2 ( 𝐸𝑔 𝑞 + 𝜒 − 𝜙𝑚) + (1 − 𝑐2)𝜙0 (53) The interface states energy Ess (V) is related to the forward bias voltage on a p-type semiconductor by the following equation: 𝐸𝑠𝑠(𝑉) − 𝐸𝑣 = 𝑞(𝜙𝐵(𝑉) − 𝑉) (54) In the following section, the MIS model will be implemented in the TCAD Sentaurus modeling of the diamond SBD. To simulate the interfacial states at the metal-semiconductor interface a dielectric interface with acceptor like traps will be implemented to obtain the non-ideal Schottky behavior. 54 6.3. TCAD simulations of diamond SBD For the numerical simulation, the investigated Schottky diode shown in Fig. 6.10 was simulated with a miniaturized SBD structure as shown in Fig. 6.11. The diode’s cross-sectional area was reduced to cut the computational time while maintaining the estimated grown epilayer thicknesses. To simulate this non-ideal Schottky behavior it is necessary to introduce interfacial states at the metal-diamond by incorporating a Metal-Interface Layer-Semiconductor model to the TCAD design. Nawawi et al. [50] centered his attention on simulating the implementation of acceptor- like traps created at the interface during the fabrication process. Figure 6.10. 3D design of the quasivertical SBD. The Sentaurus TCAD design was created with respect to the right side of the device. 55 Figure 6.11. Sentaurus TCAD device design of the SBD. The bottom image is a closeup of the interfacial layer at the M-S interface. In order to implement the transport mechanisms at the metal-semiconductor junction, a non- local mesh was created at the MIS interface as shown in Fig. 6.12. The physical models for thermionic and nonlocal barrier tunneling were implemented at the interface. Figure 6.12. Sentaurus TCAD mesh layout of the SBD design The simulated band diagram at equilibrium of the diamond SBD is shown in Fig. 6.13. A closeup of the band diagram at the MIS region is shown in Fig. 6.14. 56 ) V e ( y g r e n E 6 4 2 0 -2 -4 Ec Efn Efp Ev 0 0.5 1 Position (µm) 1.5 2 Figure 6.13. Diamond SBD simulated band diagram at equilibrium ) V e ( y g r e n E 6 5 4 3 2 1 0 -1 -2 -3 -4 Ec Efn Efp Ev 0 0.02 0.04 0.06 Position (µm) 0.08 0.1 Figure 6.14. Close up of the Diamond SBD simulated band diagram at equilibrium at the MIS region. Figs. 6.15-6.16 shows the results obtained in the simulations of the forward I-V characteristics compared with the experimental measurements for the diamond SBD. In the simulations, miniaturized Schottky contact area of 21.3µm x1µm was used, compared to the area of the fabricated device of 1300 um2. Therefore, the implemented area scaling factor was 61.03 to obtain a similar current value at the output of the simulation. 57 ) A ( t n e r r u C 1.00E-01 1.00E-03 1.00E-05 1.00E-07 1.00E-09 1.00E-11 1.00E-13 1.00E-15 Simulation Experimental 0 2 4 6 Voltage (V) 8 10 Figure 6.15. I-V Characteristics of the Schottky diode (semilog scale). Experimental Simulation ) A ( t n e r r u C 2.50E-02 2.00E-02 1.50E-02 1.00E-02 5.00E-03 1.00E-15 0 2 4 6 Voltage (V) 8 10 Figure 6.16. I-V Characteristics of the Schottky diode (linear scale). For the simulations, molybdenum was used as the Schottky metal which has metal work function of 4.7 eV. Since the diamond surface was oxygen terminated, an electron affinity of 1.3 eV was used. The interfacial layer properties were characterized to fit with the experimental data, resulting in a dielectric constant of 2, bandgap of 7.0eV and electron affinity with a value of 0.9 eV. The obtained thickness of the interface layer was of 2nm. These interface layer parameters were referenced [50] and modified to recreate the SBD behavior. 58 Acceptor-like interface state charges were implemented in the interfacial layer, using acceptor traps in TCAD Sentaurus. The parameters used are shown in table 6.1. Table 6.1. Parameters of acceptor-like traps in the interfacial layer. Distributio Energy Acceptor Dit Electron Hole cross n type level (cm-2 eV-1) cross section section Uniform Mid 5x1013 1x10-12 1x10-9 Bandgap 6.4. Conclusions For this study, it was necessary to study existing fabrication technologies to incorporate them for the fabrication of diamond-based devices. The Schottky diode was designed using Ansys HFSS that allowed the design of the transmission line circuit along with the diode. The material properties of diamond were studied to input the material file and to simulate the I- V characteristics of the SBD using TCAD Sentaurus. The non-ideal Schottky behavior was obtained by the integration of an interfacial layer with acceptor-like traps for the I-V plot to transition from the ohmic regime to the SCLC regime. 59 CHAPTER 7. MODELING AND SIMULATIONS OF GA2O3/DIAMOND HETEROJUNCTION PN DIODES The absence of a reliable shallow donor remains the bottleneck of diamond bipolar devices like bipolar junction transistors (BJT) and pn junction diodes. Due to the low incorporation of phosphorous and the high activation energies for n-type dopants, diamond Schottky diodes have been mainly fabricated on boron-doped layers. Limited benefits would be obtained with all diamond bipolar devices due the high built-in voltage of the p–n junctions, which would result in a significant ON state voltage drop. An alternative to an all diamond bipolar device with a poor n- type layer is a heterojunction device with a p-type diamond layer and a n-type layer of a different semiconductor material. In this chapter the structure considered is a p-type diamond/n-type gallium oxide heterojunction diode. Table 7.1. Material properties and FOMs for Diamond, Ga2O3 and other WBG materials. Gallium Oxide (Ga2O3) material with the properties listed in Table 7.1 has recently gained significant attention as an attractive candidate for the fabrication of power electronic devices. In 60 particular Ga2O3 has a good n-type doping that gives low resistance material. The main drawbacks of Ga2O3 compared to other WBG, like diamond, AlN, SiC, and GaN, are its much lower thermal conductivity and somewhat lower bulk carrier mobility. Due to the exceptional thermal conductivity of diamond, there have been reports on various thermal management approaches using diamond with β- Ga2O3 devices, such as attaching exfoliated β-Ga2O3 on single crystal (SC) diamond [73], polycrystalline β- Ga2O3 growth on single crystal (SC) diamond [20], and low- temperature bonding of single crystal diamond wafers on β- Ga2O3 wafers [21]. 7.1. Carrier transport in heterojunctions In this section, we will explore the particularities of heterojunctions. For these type of junctions, both materials have different bandgap values. This gives as a result a discontinuous conduction and valence energy bands. The alignment of both energy bands is called the band offset. As described in equation 55, the total value of the sum between the conduction band offset and the valence band offset has to be an equal value to the bandgap difference between both materials (∆EG). The subtraction of the electron affinities of both materials (χ1 and χ2) give the conduction band offset (∆EC) as shown in equation 56. And we can obtain the valence band offset (∆EV) with equation 57. ∆𝐸𝐺 = ∆𝐸𝐶 + ∆𝐸𝑉 (55) ∆𝐸𝐶 = 𝜒1 − 𝜒2 (56) ∆𝐸𝑉 = ∆𝐸𝐺 − ∆𝐸𝐶 (57) An example of a Ga2O3/diamond heterojunction at equilibrium is shown in Fig. 35, which shows the n-type Ga2O3 and the p-type diamond heterointerface band diagram. 61 Figure 7.1. Ga2O3/Diamond heterojunction PN diode band diagram at equilibrium. From Fig. 7.1 it can be determined that at a forward voltage of Vf = 1.49V we obtain the vacuum level to flatten semiconductor energy bands as shown in Fig. 7.2a. As the forward voltage increases, there is carrier accumulation at the interface for both materials (Fig 7.2b), which depends on the trap distribution at the interface. a) b) Figure 7.2. Interfacial band diagram of the n-type Ga2O3/ p- diamond region of the PN diode. (a) when Vf = 2.3V the vacuum level is flat. (b) when Vf ≥ 2.3V there is an accumulation of carriers at the interface. 62 Fig. 7.3 shows the different mechanisms which the charge carriers pass through the energy potential barrier between materials in order for a current to flow. The main transport mechanisms are thermionic emission and tunneling. If the band offsets have large values, Trap Assisted Tunneling can also become a dominant transport mechanisms at low voltages. Figure 7.3. Different transport mechanisms at the Ga2O3/Diamond interface. 7.1.1. Thermionic emission When a charge carrier travels over a potential barrier which is given by thermal excitation, this process is called thermionic emission. The current density due to this mechanism can be expressed with the following equation: 𝐽 = 𝐴∗𝑇2𝑒𝑥𝑝 (− 𝑞𝜙0 𝑘𝑇 ) (58) where ϕ0 is the barrier height at the heterojunction interface, and T is the temperature of the device. The Richardson constant for thermionic emission (A∗) is given by the following equation: 63 𝐴∗ ≡ ∗ 𝑘2 4𝜋𝑞𝑚𝑛 ℎ3 (59) 7.1.2. Tunneling Tunneling is a quantum mechanical phenomenon, in which a carrier has a limited chance or probability to pass through the energy potential barrier. The currents due to this transport mechanism depend on several non-local variables since the expectation of tunneling depends on the thickness of the energy barrier. In the band diagram shown above in Fig. 7.3, we distinguish one type of tunneling process, called direct tunneling. The process is the electrons traveling from the conduction band of the Ga2O3 to the conduction band of the diamond. A similar process (not shown) can exist for the holes traveling from the valence band of the diamond to the valence band of the Ga2O3. The tunneling current (JTun) is expressed as the generation current (GTun). And the generation originated by the tunneling current is given by the following expression: 𝐺𝑇𝑢𝑛(𝑟) = 𝑑𝐽𝑇𝑢𝑛 𝑑𝜀 ∙ 𝐸⃗⃗ (60) where E is the electric field ε is the energy level, and r is the distance. The tunneling electron generation rate and the tunneling hole generation rate used is the following: 𝐺𝑇𝑢𝑛,𝑒(𝑟) = 𝐴∗𝑇 𝑘 𝐸⃗⃗ ∙ Γ𝑒(𝑟)𝑙𝑛 [ 1+𝑒𝑥𝑝[− (𝐸𝑒,𝐺𝑎2𝑂3−𝐸𝑓𝑒,𝐺𝑎2𝑂3) 𝑘𝑇 ] 1+𝑒𝑥𝑝[− (𝐸𝑒,𝐷𝑖𝑎𝑚𝑜𝑛𝑑−𝐸𝑓𝑒,𝐷𝑖𝑎𝑚𝑜𝑛𝑑) 𝑘𝑇 ] (61) ] 𝐺𝑇𝑢𝑛,ℎ(𝑟) = 𝐴∗𝑇 𝑘 𝐸⃗⃗ ∙ Γℎ(𝑟)𝑙𝑛 [ 1+𝑒𝑥𝑝[− (𝐸𝑓ℎ,𝐷𝑖𝑎𝑚𝑜𝑛𝑑−𝐸ℎ,𝐷𝑖𝑎𝑚𝑜𝑛𝑑) 𝑘𝑇 1+𝑒𝑥𝑝[− (𝐸𝑒,𝐺𝑎2𝑂3−𝐸𝑓𝑒,𝐺𝑎2𝑂3) ] 𝑘𝑇 ] ] (62) where k is the Boltzmann constant, Ee and Eh are the electrostatic potentials of the charge carriers, Γ is the tunneling probability, and Efe and Efh are the quasi-Fermi levels of electrons and holes. From equations (61-62) it can be observed that the tunneling generation current is proportionate 64 to the tunneling probability. To obtain the tunneling probability, the Wentzel-Kramers-Brillouin (WKB) approximation is used, resulting in the following expressions for electrons and holes. Γ𝑒(𝑟) = 𝑒𝑥𝑝 [− Γℎ(𝑟) = 𝑒𝑥𝑝 [− 2 ℏ 2 ℏ 𝑟 ∫ √2𝑚𝑒 (𝐸𝑓𝑒,𝐷𝑖𝑎𝑚𝑜𝑛𝑑 − 𝐸𝐶,𝐺𝑎2𝑂3 − 𝑞𝜓𝑒(𝑟)) 𝑑𝑟 0 ] (63) 𝑟 ∫ √2𝑚ℎ (𝑞𝜓𝑒(𝑟) − (𝐸𝑉,𝐷𝑖𝑎𝑚𝑜𝑛𝑑 − 𝐸𝑓𝑒,𝐺𝑎2𝑂3)) 𝑑𝑟 0 ] (64) where me and mh are the tunneling masses for electrons and holes respectively, ℏ is the reduced Planck constant, and ψ is the electrostatic potential profile. The tunneling probability values decrease as the energy potential barrier increases, as shown in equations (63-64) If the band mismatch between the two materials is small, then direct tunneling and thermionic emission are the main charge carrier transport mechanisms. If the band mismatch increases, another transport mechanism governs, in this case, trap assisted tunneling (TAT). 7.1.3. Trap assisted tunneling Trap assisted tunneling as shown in Fig. 7.3 can have a huge impact on the carrier transport. As shown in Fig. 7.3 one TAT process is electrons tunnel into a trap on the diamond side of the interface, then the electron recombines with a hole via the traps. Several important parameters should be taken into consideration, to be specific: • Energy potential barrier to be overcome or tunneled by the different carriers. • The dynamics of trap occupancy. • Trap distribution and density TCAD Sentaurus contains several models accessible to simulate trap-assisted tunneling. The Schenk [74] and Hurkx [75] models, consider only local variables to model TAT and easily to implement. Nevertheless, these models are not suited for modelling TAT in abrupt heterojunctions, where the band edge is affected by the material properties than the electric field. In this case, the 65 non-local TAT model, it permits to obtain exact values of the potential barrier using the WKB method. Enabling a more accurate calculation of the trap assisted tunneling at the interface of the heterojunction. Equation 65 shows the net recombination rate of the non-local tunneling model. 𝑅𝑛𝑒𝑡 1 𝑇𝐴𝑇 = 𝐶𝑛 ∫ 0 Γ𝐶(𝑥,𝐸𝐶(0)) 𝜏(𝑥) 𝑇(0)+𝑇(𝑥) 2√𝑇(0)𝑇(𝑥) [𝑒𝑥𝑝 [ 𝐸𝐹(0)−𝐸𝐶(0) 𝑘𝑇(0) ] 𝑓𝑝(𝑥) − 𝑒𝑥𝑝 [ 𝐸𝑇(𝑥)−𝐸𝐶(0) 𝑘𝑇(𝑥) ] 𝑓𝑛(𝑥)] 𝑑𝑥 (65) Γ𝐶(𝑥, 𝜀) = 𝑊𝐶(𝑥, 𝜀)𝑒𝑥𝑝(−2 ∫ 𝜅𝐶(𝑥, 𝜀)𝑑𝑟 𝑥 0 ) (66) Where f n and f p are the electron and hole occupation probability at the defect level, respectively, and τ is the charge carrier lifetime. The traps in semiconductors can serve as discrete energy levels in the bandgap. These energy levels can contribute to SRH recombination, and also permit charge carriers pass through a potential barrier. TAT combines the theory of emission and capture of the carriers with the tunneling mechanism. 7.2. Ga2O3/diamond heterojunction diode The heterojunction pn junction diode to be designed, fabricated, tested and simulated is shown in Fig. 7.4. It consisted of a diamond substrate with p+ and p- boron doped layers. The p- layer serves as the drift layer. The Ga2O3 is n-type doped and will be attached to the diamond with a bonding process. Ohmic contacts will be made to the p+ diamond and n-type Ga2O3. Figure 7.4: p-type diamond/ n-type Ga2O3 heterojunction diode. 66 7.3. Device fabrication The sketch showing the device fabrication steps is shown in Fig. 7.5. The following sub-sections detail the various processing steps. Figure 7.5. Device fabrication process for Ga2O3/diamond heterojunction PN diodes. A) Substrate preparation, epilayer growth and surface polishing A SCD type-Ib substrate was purchased that was grown via a high-pressure high-temperature (HPHT) synthesis process. Lateral dimensions of the diamond substrate are 6x6 mm2, with a 3o off-cut angle of the top surface from the (100) crystal planes. The average surface roughness is reduced by a mechanical polishing process and then a RIE etching process is done to remove the sub-surface damage. The p-type region is epitaxially grown on the diamond substrate surface. For the fabrication of the quasi-vertical PN diode, first the heavily boron-doped epilayer is grown on the HPHT substrate and then a lightly boron-doped epilayer is grown subsequently. The p+ epilayer has a boron concentration of approximately 1x1020 cm-3 for an epilayer thickness of 2µm. The p- epilayer has a boron concentration of approximately 1x1016 cm-3 for an epilayer thickness of 1µm. 67 The (010) β-Ga2O3 substrate was purchased with a n-type conductivity through intentional doping of tin (Sn) with a doping concentration of 1x1018-9x1018 cm-3. The front surface had been processed with a chemical mechanical polishing process with an average surface roughness around 0.1-0.2 nm. The thickness of the sample was around 0.5 mm. To achieve the direct bonding between the β-Ga2O3 and diamond substrates, it is necessary that both samples have atomically flat surfaces (RMS surface roughness ≤0.5nm). To obtain this flatness a (Chemical Mechanical Polishing) CMP polishing process was performed on the diamond substrate by S M Asaduzzaman at MSU. The CMP station is composed of a rotating ceramic polishing plate and a self-leveling substrate holding stage. This process polishes the top surface of the diamond sample where we have chemical polishing due to a slurry containing an abrasive grit of boron carbide in a suspension of reactive chemical agents containing potassium permanganate, phosphoric acid and water. A chemical-mechanical polishing action is obtained by putting some pressure on the sample to get material removal by the combined chemical action and the boron carbide particles on the ceramic wheel acting as an abrasive. Figure 7.6. HPHT diamond substrate with CMP’d surface with a RMS surface roughness ≤0.6nm. Fig 7.6 shows sample GD22-3D01 after 240min of CMP obtaining a RMS surface roughness ≤0.6nm inside the selected areas. 68 B) Diamond dry etching for mesa structures To form the mesa structures of the grown epilayers (p-/p+), a diamond dry etching process was carried out with an oxygen-based plasma process using an Al/Cu stack as a hard mask for selective etching on the diamond surface. For this process an ICP-RIE system was used, with a coil power 600 W, platen power of 50W and a work pressure of 10 mTorr, using Ar/O2 (20sccm/35sccm) for the process gas. An etching rate of 150nm/min was obtained. C) Surface termination and direct bonding of Ga2O3/diamond samples Since Ga2O3 and diamond have a large lattice mismatch and difference in their coefficient of thermal expansion, it is very difficult to obtain an epitaxial growth of β-Ga2O3 on diamond surface and vice versa. Matsumae et al [21] were able to demonstrate the direct bonding between these two materials at low temperatures under atmospheric conditions (Fig. 7.7). The first step is to obtain an OH termination on the surface of both samples. The β-Ga2O3 surface was irradiated by oxygen plasma at 1000 W using a pressure of 5 Torr for 10s using reactive ion etching (RIE) system. The diamond substrates are OH-terminated by an H2SO4/H2O2 (4:1) mixture at 75 °C for 10 min, then surface was cleaned using a NH4OH/H2O2/H2O (1:1:5) mixture at 75 °C for 10 min. Next both the diamond and Ga2O3 pieces were rinsed in DI water in an ultrasonic bath. 69 Figure 7.7. Low temperature Ga2O3/Diamond direct bonding process [21]. After the OH termination process of diamond and Ga2O3, the obtained contact angles were of 27.9o and 54.7o , respectively as seen in Fig. 7.8. Figure 7.8. Contact angle measurements of diamond and Ga2O3 after the OH termination. Diamond contact angles a) after sitting in ambient conditions, b) after cleaning process, and c) after OH termination. Ga2O3 contact angle d) after OH termination Afterwards the samples surfaces are placed in contact with each other at room temperature. They are expected to adhere by van der Waals forces and hydrogen bonds between them. To improve 70 the shear strength between both surfaces, the samples are placed in a desiccant for 3 days in vacuum and finally annealed at a temperature of 250 °C for 24hrs. a) Figure 7.9. Direct bonding of Ga2O3/diamond substrates, a) 10x image showing the interference patterns on the Ga2O3 and b) image of both substrates vertically, adhered by the bonding force. Fig. 7.9 shows the bonding between the diamond sample D22-3D01 and the β-Ga2O3 sample b) G22-SN01 bonded using the low temperature direct bonding process. It can be observed that the area without interference patterns is directly bonded, in contrary with the area with the newton rings showing a small distance between the surfaces. While this sample adhere it was not done at the time that ohmic contacts could be made. Hence, a different sample as shown in Fig. 7.9 was prepared. D) Ohmic contacts deposition and annealing The ohmic contacts (Ti (10 nm)/Pt (20 nm)/Au (100 nm)) were fabricated for both diamond and β-Ga2O3 by an e-beam evaporator/sputtering system. To improve ohmic contact properties, the stacking ohmic electrodes were annealed at 450 °C under vacuum for 1hr for the formation of a 71 TiC thin layer on the diamond and a Ti2O3 thin layer on the β-Ga2O3. Due to the thickness of the β-Ga2O3 cleaved sample, a lithography process was not possible, so the definition of the electrodes was obtained using an aluminum shadow mask, with circular patterns that have an area of ~35,000 µm2. Figure 7.10. Fabricated β-Ga2O3 – diamond heterojunction pn diode. The Ga2O3 sample was cleaved from the purchased substrate, to obtain a thinner piece. The diamond surface demonstrates interference patterns due to the CMP polish (Fig 7.10 left image 2.5x). The cleaved Ga2O3 also shows interference patterns due to the proximity of the bottom surface with the upper surface of the diamond sample (Fig 7.10 right image 2.5x). A substantial portion of the Ga2O3 material piece is thought to be bonded to diamond. 7.4. PN heterojunction IV characterization The DC measurements for the IV plots of the pn heterojunction diode was performed using a Semiconductor Device Parameter Analyzer. Fig. 7.11 shows that after annealing the ohmic contacts, the contact resistance decreased, increasing the current at a forward bias. Fig. 46 demonstrates that by improving the conduction through the contacts that the ideality factor of the pn diode also improved. 72 Before Anneal After Anneal ) A ( t n e r r u C 1.40E-03 1.20E-03 1.00E-03 8.00E-04 6.00E-04 4.00E-04 2.00E-04 0.00E+00 -10 -8 -6 -4 -2 2 0 Voltage (V) 4 6 8 10 Figure 7.11. IV Characteristics of the fabricated heterojunction pn diode. (red) before doing the annealing process of the ohmic contacts, (black) after the contacts anneal.(Linear scale) Before Anneal After Anneal ) A ( t n e r r u C 1.00E-01 1.00E-03 1.00E-05 1.00E-07 1.00E-09 1.00E-11 1.00E-13 1.00E-15 -10 -8 -6 -4 -2 2 0 Voltage (V) 4 6 8 10 Figure 7.12. IV Characteristics of the fabricated heterojunction pn diode. (red) before doing the annealing process of the ohmic contacts, (black) after the contacts anneal.(semilog scale) 7.5. Simulation In this numerical simulation study, the investigated diode (Fig. 7.13a) was simulated with a miniaturized pn diode architecture as shown in Fig. 7.13b. The diode’s cross-sectional area, n-type Ga2O3 thickness and p+ region thickness, was shortened to reduce the computational time. Several physics-based models and parameters described in this section were used in the simulations. 73 b) a) Figure 7.13. a) 3D design of the Ga2O3/diamond heterojunction pn diode, b) the TCAD design of the pn diode. To implement the previously mentioned transport mechanisms in a small scale it is necessary to create a secondary 1D mesh across the boundary as shown in Fig. 7.14. This 1D mesh is known as non-local mesh in order to implement one-dimensional, non-local physical models. This mesh is composed of non-local lines which is subdivided by non-local points, in order to permit the discretization of the equations that constitute the physical models. On each vertex the transport equations and the Poisson equation are solved. Figure 7.14. Device 2D mesh layout and 1D non-local mesh layout of the Ga2O3/diamond heterojunction pn diode 74 The nonlocal tunneling and nonlocal TAT have several parameters, which are specified in the BarrierTunneling and HurkxTrapAssistedTuneling parameter set in each material parameter file. The parameter mt determines the tunneling masses me and mh, for each material that constitutes the tunneling barrier (Eqs. (63) and (64)) as given in Table 7.1. Table 7.2. Carrier effective masses of Ga2O3 and Diamond for interfacial tunneling. Material Ga2O3 Diamond me 0.342 mo 0.48 mo mh 1 mo 0.8 mo Non-local TAT is modelled according to the interface containing the traps which assist the transport. In this work, two different interfacial trap state distributions were implemented and determined as TAT1 and TAT2, as seen in Table 7.2. Table 7.3. Parameters of the two interfacial trap state distributions. Distributio Energy Acceptor Dit Donor Dit Electron Hole cross n type level (cm-2 eV-1) (cm-2 eV-1) cross section section TAT1 Uniform Mid 1x1013 1x1012 1x10-14 1x10-14 Bandgap TAT2 Uniform Mid 1x1012 1x1013 1x10-14 1x10-14 Bandgap The distribution of traps inside the bandgap at the interface between both materials for this study was considered a uniform distribution. Fig. 49 shows the different energetic distributions of traps that can be implemented in TCAD Sentaurus. 75 Figure 7.15. Different energetic distribution of traps inside the bandgap of the band diagram of the materials. The simulated IV characteristics of the designed pn diode forward biased with and without the TAT effects are demonstrated in Fig 7.16. The thermionic emission (TE) and direct tunneling only model shows the highest turn on voltage and the ideality factor is close to one. It can also be observed that by implementing the TAT models, there is a shift of the turn-on voltage to lower values and the ideality factor increases n>2. Figure 7.16. I-V characteristics of the Ga2O3/diamond heterojunction PN diode in the forward bias regime. 76 TCAD Sentaurus uses the trap types differently than typical semiconductor physics theory. The acceptors and donor traps are uncharged when unoccupied but have the charge of an electron or hole respectively when occupied. To describe the plots of Fig. 7.16 with the implemented TAT settings, the band diagram, the carrier concentrations, and the recombination rate at a bias of 4V will be analyzed at the cross section of the simulated structure at the location indicated in Fig 7.17. Figure 7.17. Ga2O3/diamond heterojunction pn diode simulated structure, showing the cross section to analyze at Vf = 4V. 7.5.1. TAT1 interfacial trap distribution As mentioned previously, the TAT1 trap distribution has acceptor interface state density of Dit:1x1013 cm-2 eV-1 and donor interface density of Dit:1x1012 cm-2 eV-1. As observed on the band diagram of Fig. 7.18, electrons from the Ga2O3 occupy the acceptor trap levels at the interface, creating a negative charge. Figure 7.18. Band diagram of the Ga2O3/diamond interface with the trap distribution TAT1 at a forward voltage Vf = 4V. The negative charge attracts holes from the diamond, accumulating at the interface. The hole accumulation creates an upward bending of the energy levels at the interface. Hence, creating a 77 barrier at the conduction band that prevents electrons from being transported into the diamond by any transport mechanisms. The holes at the valence band are able to transfer/tunnel to the n-type Ga2O3 and recombine quickly with electrons due to the low hole mobility and large effective masses. Figure 7.19. a) Simulated carrier concentration vs position and b) Recombination rate vs position in the structure at 4V with the trap distribution TAT1 a) b) It can be observed in Fig 7.19, that the current is attributed primarily due to the recombination of holes at the interface. 7.5.2. TAT2 interfacial trap distribution The TAT2 trap distribution has acceptor Dit:1x1012 cm-2 eV-1 and Donor Dit:1x1013 cm-2 eV-1. As observed on the band diagram (Fig. 7.20), holes from the p-type diamond occupy the donor trap levels at the interface, creating a positive charge. 78 Figure 7.20. Band diagram of the Ga2O3/Diamond interface with the trap distribution TAT2 at a forward voltage Vf = 4V. The positive charge attracts electrons from the Ga2O3, accumulating at the interface. The electron accumulation creates a downward bending of the energy levels at the interface, resulting in a small barrier at the conduction band. At higher voltages, the electrons may have sufficient energy to transfer to the p-type diamond by thermionic emission and trap-assisted tunneling. It can be noticed in Fig. 7.21 that the current is influenced mainly by the recombination inside the p- type drift layer of the pn diode using a diamond recombination lifetime for holes of τ=1x10-9. Figure 7.21. a) Simulated carrier concentration vs position and b) Recombination rate vs position in the structure at 4V with the trap distribution TAT2. By implementing the TAT transport mechanism for the device simulation, it was possible to determine that the carrier transportation at the heterojunction interface was mainly governed by the generation–recombination current accompanying the tunneling process as reported by Sittimart 79 et al [22]. In this earlier work the exfoliated n-type β-Ga2O3/p-type diamond pn diode was fabricated (Fig 7.22a) using a low temperature bonding process and it showed an ideality factor of 2.7 (Fig 7.22b), indicating that probably its due to defects at the interface. Figure 7.22. I-V characteristics of Ga2O3/diamond heterojunction pn diode [22]. Due to defects at the interface, the ideality factor at the forward regime is 2.7. Figs. 7.23 and 7.24 show the linear scale and semilog scale of the experimental diode fabricated in this work and the associated simulated diode IV plots. The ideality factor for the experimental and simulated PN diode curves are of n=3.58 and n=3.7. The simulation results seem almost accurate at lower voltages, as for a bias past the turn on voltage, the simulated plot seems resistive compared to the experimental measurements. ) A ( t n e r r u C 1.60E-03 1.40E-03 1.20E-03 1.00E-03 8.00E-04 6.00E-04 4.00E-04 2.00E-04 0.00E+00 0 2 4 6 Voltage (V) 8 10 Figure 7.23. IV characteristics of the experimental and TCAD simulated pn heterojunction diode in the linear scale 80 n=3.58 ) A ( t n e r r u C 1.00E+00 1.00E-02 1.00E-04 1.00E-06 1.00E-08 1.00E-10 1.00E-12 1.00E-14 Experimental Simulations n=3.7 0 2 4 6 Voltage (V) 8 10 Figure 7.24. IV characteristics of the experimental and TCAD simulated pn heterojunction diode, in the semilog scale. The parameters used to obtain the simulated curve are the following: Table 7.4. Parameters of the two interfacial trap state distributions. Trap type Distribution Density Dit Energy level Electron Hole cross type (cm-2 eV-1) cross section section Acceptors Uniform 3x1013 Mid Bandgap 1x10-9 1x10-12 Donors Uniform 1x1011 Mid Bandgap 1x10-12 1x10-9 -2eV +0.5eV The ideality factor value of the experimental data shows that the bonding interface between the diamond and the Ga2O3, has more interfacial states then the reported by Sittimart [22] 7.6. Conclusions The unique material properties of diamond and β-Ga2O3, the heterojunction bonding process and the exploratory fabrication process have been carefully examined. Additionally, in order to give a deep insight into the physical phenomena behind the behavior of the Ga2O3/Diamond 81 heterojunction PN diode, a TCAD model has been built based on physical properties of the materials and experimental data found in the literature and measured. The modelling of the main physical and electrical properties such as the transport mechanisms at the heterojunction interface has been provided, showing the need to include the mechanism of tunneling via traps at low voltages. The fabrication process for the diamond/Ga2O3 heterojunction diode was analyzed through fabrication methods mentioned in the literature [21]. The OH termination of both materials gave hydrophilic surfaces, permitting the low temperature bonding to be successful. The IV characteristics of the fabricated pn diode showed that the ideality factor is around 3.58 for the experimental plot, giving a higher value than reported in the literature n=2.7 [22]. 82 CHAPTER 8. BULK CONDUCTION FIELD EFFECT TRANSISTORS Field effect transistors (FET) operate with the input signal to the gate creating an electrical field which is used to control the flow of current through the channel between the source and drain of the device. The junction-FET (JFET) has an operation based on controlling the path of the current by the applied field to the gate, which consists of one or more pn junctions with a reverse bias. The metal-semiconductor FET (MESFET) operates similarly to the JFET, but the current flow is controlled by a Schottky contact. 8.1. Operation of junction field effect transistor (JFET) The basic structure of a JFET is illustrated in Fig. 8.1, which is formed by a semiconductor channel (p-type) with two ohmic contacts on each end where one of them is denoted as the source (S) and the other one is known as drain (D). The third electrode (G) is called the gate, which is formed by two regions of n-type doped semiconductor. Two pn junctions are formed at the gate region, which are polarized in the reverse bias regime, preventing any current from flowing through these pn junctions. Generally, the junction at the gate is of n+-p type meaning that the n region has a higher doping concentration than the p type region. Fig 59 shows a schematic of the different regions and contacts of a JFET. 83 Figure 8.1. The basic structure of a p-channel JFET. 8.2. Operation of MESFET The MESFETs operation is similar to the JFET, from a practical point of view it can operate at higher frequencies in the microwave region because it is unipolar. Structurally, the MESFET has a difference of the gate electrode, which is formed by a metal-semiconductor junction with a Schottky behavior instead of a p-n junction, as shown in the schematic on Fig. 8.2. Figure 8.2. Schematic of a diamond based MESFET. The structure is completed with two electrodes (source and drain) with ohmic contact behavior when deposited on the p+ semiconductor. The epitaxial grown p- region acts as the channel for the carriers, which is limited in size from below by an intrinsic epitaxial layer. In this study the channel is of p-type, so if the gate is polarized positively a space charge region is formed in the semiconductor, which controls the effective width of the channel. The source is connected to 84 ground and the drain to a negative potential, which permits holes to flow to the drain from the source through the channel. 8.3. MESFET devices: Experimental and TCAD simulation 8.3.1. MESFET device fabrication The key fabrication technologies for the bulk conduction MESFETs are shown in Fig. 8.3. These steps are given to indicate how the MESFET device was fabricated. The fabrication of this MESFET was performed by Dr. Yuelin Wu [76]. Figure 8.3. Fabrication process of the diamond based MESFET A) Diamond epilayer growth An intrinsic diamond epilayer is grown first to prevent any depleting inside the p- epilayer due to the HPHT substrate. The p- epilayer growth was performed at a pressure of 90 Torr and substrate temperature of 800oC, obtaining a boron concentration of approximately 4-5x1016 cm-3 and a thickness of 1µm. The heavily boron-doped epilayer is then grown. The p+ epilayer 85 growth was performed at a substrate temperature of 880oC at 240 Torr obtaining an effective boron concentration of approximately 1x1020 cm-3 for an epilayer thickness of 400nm. B) Mesa etching Sputtered/electron-beam aluminum was used as the etching mask due to good adhesion to diamond and high etching selectivity ratio. The RIE recipe was 2 sccm SF6 and 6 sccm Ar with etching rate 160nm/min. C) Ohmic contact formation Ti/Pt/Au (10nm/20nm/300nm) was deposited by sputtering and annealed at 600oC for 1hr. The annealing needed to be done under low pressure (low P=10-5 Torr), otherwise Ti diffusion and oxidation will happen. D) Schottky contact formation Prior to the metal deposition, an oxygen surface termination is performed using an oxygen- based plasma in a RIE system. Mo/Au (10nm/300nm) metal for the Schottky contact was deposited by sputtering. As previously mentioned, the gate for the MESFET is formed by depositing an Schottky contact on the surface of the channel. 8.3.2. MESFET experimental results To design the MESFET as shown in Fig. 8.4, we had to determine the values of certain parameters to achieve a reasonable pinch-off voltage (<20V) using the following equation: 𝑉𝑝 = 𝑞𝑁𝑎𝑑2 2𝜀𝑠 ( 21 ) where Na is the acceptor concentration in the channel, d is the channel thickness and εs is the dielectric constant of diamond (εs=6). The breakdown voltage of the device depends on the electric breakdown field of diamond and the length between the gate and drain (LGD). The device operates 86 as a normally-on device at VG=0V and the gate pinches off at around VG= 6V. The design also had LGD of 7µm and d=1µm. The fabricated device is shown in Fig. 8.5. Figure 8.4. Schematic of a diamond based MESFET, indicating important dimensions for the device design. Figure 8.5. Optical image (x20) of a diamond based MESFET A series of IDS-VDS curves measured with a gate width of 85um and a drain-source separation of 30um at 25oC , 150oC and 430oC are shown in Fig 8.6. 87 Figure 8.6. I-V characteristics of the diamond based MESFET at different operation temperatures. The highest negative voltage applied to the gate when the device is in operation is VG=-1V, since at a forward bias, the Schottky gate electrode is starting to turn on. The IDS (Fig. 8.7) values increased rapidly as the temperature increased, obtaining approximately constant drain current values from 150oC up to 430oC. This is due to the activation of the boron concentration in the channel with the temperature increase and hole mobility decreasing with temperature. ) m m A m / ( S D I t n e r r u C n i a r D 2.4 2.2 2 1.8 1.6 1.4 1.2 1 0.8 0.6 0.4 0.2 0 t n e r r u c x 0 0 1 At VG=-1V and VDS=-10V 0 50 100 150 200 250 300 350 400 450 Temperature (oC) Figure 8.7. IDS vs Temperature plot, indicating that at 150oC there is a current increase of approximately 100x compared to measurements at room temperature. The current-voltage behavior between the gate and the source (IGS-VGS) was characterized at 25 ℃ and 430 ℃ as shown in Figure 8.8. It can be observed that the rectification ratio starts at about 88 seven orders of magnitude and drops to just three orders of magnitude as we increase the temperature up to 430oC. T=25oC T=430oC ) A ( S G I t n e r r u C e t a G 1.00E+00 1.00E-02 1.00E-04 1.00E-06 1.00E-08 1.00E-10 1.00E-12 1.00E-14 -5 -4 -3 -1 -2 2 0 Gate Voltage VGS (V) 1 3 4 5 Figure 8.8. I-V characteristics of the gate/source regions at different temperatures demonstrating the decrease of the on/off ratio at higher temperatures. The device withstood -700 V of drain bias before the air dielectric breakdown occurred between the probes. These results showed great potential of lateral diamond device for high voltage and high temperature applications. 8.3.3. MESFET TCAD simulations For this device simulation study, the lateral and vertical dimensions of the designed and fabricated MESFET were approximate as shown in Fig. 8.9. Figure 8.9. TCAD Design of the diamond based MESFET. 89 Device simulations with different lattice temperatures need specific physical models to recreate the behavior of the properties of diamond. Increasing the substrate temperatures affects the band gap value, hole mobility, and the activation energy of the carriers, as specified in sections 3.2.2 - 3.2.4. The mobility model of the University of Bologna was implemented together with the doping dependence model. Giving the temperature dependence, which has been characterized for a temperature range of 300K-700K. As mention previously, the doping concentration of the p- epilayer and the p+ epilayer were of 1x1016cm-3 and 1x1020cm-3 respectively. The Schottky barrier height of the gate contact was set to 1.8eV. Since the gate is composed of a Schottky contact, a nonlocal mesh was placed on the gate interface to apply different transport mechanisms that apply, for example, thermionic emission, barrier tunneling and Schottky barrier lowering for the reverse regime of the gate contact. ) m m A / ( S D I t n e r r u C n i a r D 0.00E+00 -5.00E-06 -1.00E-05 -1.50E-05 -2.00E-05 -2.50E-05 T=25oC -10 -8 -6 -4 -2 0 Sim Vg=-1V Sim Vg=0V Sim Vg=1V Sim Vg=2V Sim Vg=3V Sim Vg=4V Sim Vg=5V Sim Vg=6V Vg=-1V Vg=0V Vg=1V Vg=2V Vg=3V Vg=4V Vg=5V Vg=6V a) Figure 8.10. FET IV Curves of the diamond MESFET at different temperatures, a) 25oC, b) , a) 150oC, and c) 430oC Drain Voltage VDS (V) 90 Figure 8.10. (cont´d) 0.00E+00 -5.00E-04 ) m m A / ( S -1.00E-03 D I t n e r r u C n -1.50E-03 -2.00E-03 i a r D -2.50E-03 T=430oC -10 -8 -6 -4 -2 0 Drain Voltage VDS (V) ) m m A / ( S D I t n e r r u C n i a r D 0.00E+00 -5.00E-04 -1.00E-03 -1.50E-03 -2.00E-03 -2.50E-03 T=150oC -10 -8 -6 -4 -2 0 Drain Voltage VDS (V) Sim Vg=-0.5V Sim Vg=0V Sim Vg=1V Sim Vg=2V Sim Vg=3V Sim Vg=4V Sim Vg=5V Vg=-0.5V Vg=0V Vg=1V Vg=2V Vg=3V Vg=4V Vg=5V Sim Vg=-1V Sim Vg=0V Sim Vg=1V Sim Vg=2V Sim Vg=3V Sim Vg=4V Sim Vg=5V Sim Vg=6V Sim Vg=7V Vg=-1V Vg=0V Vg=1V Vg=2V Vg=3V Vg=4V Vg=5V Vg=6V Vg=7V b) c) The simulated and experimental IV plots for the MESFET is observed in Fig 8.10. As mentioned, the drain current for the experimental results stayed constant from 150-430oC, But for the simulated results, the current decreased at 430⁰C. We can determine that the current decrease is mainly due to the reduced mobility at high temperatures, as spite that the ionized carriers increase. 91 8.4. V-JFET devices JFET’s are very reliable devices for high power applications since there is no gate oxide layer, which can generate interface states and temperature instability of the devices. Diamond based- JFET’s rely on the quality of the grown layer and doping concentration of the n-type diamond epilayers on (100) diamond substrates. By improving the n-type diamond growth recipe and the selective growth process for high quality p-n+ junction, we could obtain control of the gate for the channel modulation. The studied JFET (p-type channel) (Fig. 8.11) architecture has a vertical channel column, for the current path to travel vertically from the source to the drain. The gate is n-type diamond, which helps modulate the channel width. 8.4.1. V-JFET device fabrication The JFET design starts with a SCD type-Ib substrate that was commercially purchased. It was high-pressure-high-temperature (HPHT) diamond substrate. This serves as the seed for the growth of the diamond epilayers for the active region of the device. Lateral dimensions of the substrate are 3.5x3.5 mm2, with a 3o off-cut angle in the (100) surface orientation for the top surface. Figure 8.11. Schematic of the diamond-based V-JFET Due to the difficulty of growing a thick free-standing p+ substrate, a more practical approach was taken, by fabricating a quasi-vertical FET device as shown in Fig. 8.11. This device will be fabricated on a p+/HPHT substrate. To reduce the contact resistance at the source, a thin p+ epilayer is grown of thickness 0.5µm. 92 Figure 8.12. Schematic of the current flow of the V-JFET. Fig. 8.12 shows the current flow of the device, where the source current flows vertically until it reaches the bottom p+ epilayer. Then the current flows laterally until it reaches the drain contact. The fabrication process diagram of the diamond based V-JFET is shown in Fig. 8.13. The following sub-sections detail the various fabrication steps. p+ Figure 8.13. Fabrication process of the diamond based V-JFET 93 A) Diamond epilayer growth of p+ and p- layers The p+ epilayer was grown with an effective boron concentration of approximately 1x1020 cm- 3 for an epilayer thickness of 1µm. The p- epilayer has a boron concentration of approximately 1x1016 cm-3 and a thickness of 3.5µm. B) Drain area etching For this device, the metal selection for the hard mask of diamond etching was selected to be Al/Cu (20nm/250nm) deposited using a e-beam deposition system from Kurt Lesker. The etching recipe was changed to an oxygen based on an ICP-RIE system. With an etch rate of approximately 70nm/min, the p- epilayer was etched (3.5µm) until the p+ epilayer was reached, determined by measuring the conductivity with a probe station. The most challenging process of the JFET device fabrication is the formation of the gate, channel and source region, since pattern dimensions are critical. To achieve the desired structure the lithography process and oxide/diamond RIE etching process were improved. The process diagram is divided in the following steps (Fig 8.14): c) n-type diamond selective growth for the gate region. d) p- epilayer diamond selective growth for channel extension and p+ epilayer diamond selective growth for the source ohmic contact. 94 Figure 8.14. Fabrication steps for the formation of the gate region and the channel. C) Gate area etch and n-type diamond selective growth The gate area was etched using the same metal selection and ICP RIE recipe as step B. This etching was for a total depth of 1µm. This fabrication step defines the channel width. In order to form the gate region, a n-type diamond selective growth process was achieved via etching and re-growth. SiO2/Al/Cu was used as the etching and the SiO2 as the re-growth mask. The top Al/Cu layer was stripped off after diamond etching and the bottom SiO2 layer was used as the re-growth mask. The PECVD-grown SiO2 layer was annealed at 900oC for 1 hour to improve its density and adhesion to diamond before Al/Cu deposition. Using the lithography process a narrow channel width was obtained (~1um) Fig 8.15. To preserve the channel width during the etching process a two-step etching recipe process was implemented The first recipe step used was to etch down the SiO2 layer 1um deep, using a fluorine based (CHF3) etching recipe. This oxide layer serves as a mold for CVD diamond selective growth. The second recipe step used was to etch down the p- epilayer to form the channel, using an oxygen based etching recipe, preventing the lateral etching of the SiO2. 95 Figure 8.15. a) Optical image (x10) of the etching process for the channel formation after RIE etching, b) SEM image of the channel formation with the SiO2 hard mask. The SiO2 layer is annealed at 900oC under vacuum to densify its amorphous structure. This permits the oxide to withstand the harsh hydrogen plasma conditions during the n-type diamond selective growth process. The grown n-type diamond epilayer (Fig. 8.16) has a phosphorous concentration of approximately 1x1019 cm-3 for an epilayer thickness of 1µm. Figure 8.16. SEM image of the channel after the n-type diamond selective growth. D) Source contact area, p-type diamond selective growth SiO2/Al/Cu was used again as the etching and re-growth mask. First a selective growth process of a p- epilayer with a thickness of 0.5 µm is performed on top of the channel. Then a selective growth process of a p+ epilayer with a thickness of 0.5 µm is performed on top of the p- epilayer. The p+ layer is needed to obtain a lower contact resistance for the source. 96 This process consists of performing a p- epilayer selective growth on the top surface of the channel to form the source contact, using the oxide mold layer. The PECVD SiO2 layer of 1um thick is annealed at 900oC and etched with the fluorine based (CHF3) etching recipe. The grown p- diamond epilayer (Fig. 8.17) has a boron concentration of approximately 1x1016 cm-3 for an epilayer thickness of 0.5µm. Figure 8.17. a) Optical image (x10) of the channel formation after the p- epilayer, b) SEM image of the channel formation after the p- epilayer selective growth process To decrease the contact resistance at the source, a p+ epilayer selective growth on the top surface of the channel is performed to have an ohmic behavior on the source contact. The grown p+ diamond epilayer (Fig. 8.18) has a boron concentration of approximately 1x1020 cm-3 for an epilayer thickness of 0.5µm. 97 Figure 8.18. a) Optical image (x10) of the channel formation after the p+ epilayer, b) SEM image of the channel formation after the p+ epilayer selective growth process. a) b) E) Ohmic contact Ti/Pt/Au (10nm/20nm/300nm) ohmic contacts were deposited by sputtering and annealed at 600oC for 1hr. The annealing needs to be done under high vacuum (low 10-5), otherwise Ti diffusion and oxidation will happen. 8.4.2. TCAD simulations The JFET structure was simulated with a miniaturized structure as shown in Fig. 8.19. Reducing the lateral dimensions enabled decreasing the computational time of the TCAD simulation of the device. The design was limited to the cross-section of the JFET at the middle of the channel in order observe the electrical properties of the channel with respect to the dimensions and doping concentration. 98 Figure 8.19. JFET TCAD simulated design. The simulations of the device structure showed a breakdown voltage that exceeded 1000V (Fig. 78) with a pinch-off voltage of VGS=24V at room temperature (Fig. 8.20). Fig. 8.21 shows the two-dimensional view of the hole density in the channel at two different bias conditions. ) m µ A / ( S D I t n e r r u C n i a r D 1.00E-04 -1.00E-04 -3.00E-04 -5.00E-04 -7.00E-04 -9.00E-04 -1.10E-03 Vg=0V Vg=4V Vg=8V -1000 -800 -600 -400 -200 0 Drain Voltage VDS (V) Figure 8.20. Simulation of the breakdown voltage of the JFET with different gate voltages. 99 Figure 8.21. Simulation of the hole carrier density in the JFET at different Gate voltages. A gate voltage of VGS = +24V is needed for the channel pinch-off. Considering that 2D simulations assume a depth distance of 1µm, a simulated specific on- resistance of 0.6 mΩ-cm2. Since the channel width is 0.8 µm and the open channel resistance is 75,200Ω (Fig. 8.22). The fabricated devices will have a channel lateral size of 100µm, the on resistance should have a value of 60 mΩ-cm2. Figure 8.22. I-V characteristic of the JFET with an open channel. The on-resistance of the channel (0.8um) with a VGS = 0V was 0.6 mΩ-cm2. 100 8.4.3. V-JFET experimental results 8.4.3.1. First attempt The design (Fig. 8.23a) of the device was created using AutoCAD to generate the files for the fabrication of the photomasks of each layer that constitutes the device. Figure 8.23. Device design of the V-JFET, a) drawn on AutoCAD and b) after the fabrication process. An earlier version of the design was completely fabricated (Fig. 8.24). Since the lithography process for small substrates is challenging due to the formation of photoresist edge bead, the desired dimensions of the width of the channel were not possible. The obtained channel width in Fig. 8.24b was 2.3um. 101 a) b) Figure 8.24. Finished device fabrication of the JFET at an earlier stage. A) x2.5 image of the complete substrate with different fabricated devices, b) x10 image of the central section of the JFET. The following I-V curves (Fig 8.25) show that there was some (although very small) control of the drain current by varying the gate voltage. This was evidenced by obtaining lower currents at more positive gate voltages. Since the channel width was too wide to obtain the pinch-off, it was necessary to improve the lithography and dry etching process. Also, the boron concentration of the p-type channel was decreased from NA=5x1016 cm-3 to NA=1x1016 cm-3 in order to increase the space charge region for the device to reach pinch-off at a lower voltage. 102 a) Gate Voltage 50V 40V 30V 20V 10V 0V -10V -20 -18 -16 -14 -12 -10 Drain Voltage (V) -8 -6 -4 -2 0 Gate Voltage 50V 40V 30V 20V 10V 0V -10V ) A ( t n e r r u C n a r D i 5.00E-04 0.00E+00 -5.00E-04 -1.00E-03 -1.50E-03 -2.00E-03 -2.50E-03 -3.00E-03 -3.50E-03 b) ) A ( t n e r r u C n a r D i -2.50E-03 -2.55E-03 -2.60E-03 -2.65E-03 -2.70E-03 -2.75E-03 -2.80E-03 -2.85E-03 -2.90E-03 -2.95E-03 -20 -19.9 -19.8 -19.7 -19.6 -19.5 Drain Voltage (V) -19.4 -19.3 -19.2 -19.1 -19 Figure 8.25. a) I-V characteristics of the JFET and b) a close up view at a very small voltage range to see the drain current variation. 103 8.4.3.2. Second attempt and pn diode leakage test After the completion of the second device fabrication process (Fig 8.26), the IV characterization of the V-JFET was performed using a semiconductor device parameter analyzer. For this sample, the improved channel formation process was applied. From the transistor IV curves, it can be noticed that the device has a n-type channel behavior, as it starts to pinch off at higher negative gate voltages. Also, it can be observed that at a VDS = 0V the leakage current tends to a positive current value as the gate bias decreases to negative voltages. An indication of a leakage path between the gate-source and gate-drain regions (Fig. 8.27) could be the cause of the inverted gate control. ) A ( S D I t n e r r u C n i a r D 2.00E-05 0.00E+00 -2.00E-05 -4.00E-05 -6.00E-05 -8.00E-05 -1.00E-04 -20 -18 -16 Vg=20V Vg=16V Vg=12V Vg=8V Vg=4V Vg=0V Vg=-4V Vg=-8V -6 -4 -2 0 -14 -12 -10 Drain Voltage VDS (V) -8 Figure 8.26. IV characteristics of the diamond based JFET with a channel width of 1µm. 104 ) A ( G D I t n e r r u C 1.00E-04 1.00E-05 1.00E-06 1.00E-07 1.00E-08 1.00E-09 1.00E-10 1.00E-11 1.00E-12 ) A ( G S I t n e r r u C 1.00E-04 1.00E-05 1.00E-06 1.00E-07 1.00E-08 1.00E-09 1.00E-10 1.00E-11 1.00E-12 -10 -5 5 0 Voltage VDG (V) 10 -10 -8 -6 -4 -2 0 2 4 6 8 10 Voltage VSG (V) Figure 8.27. IV characteristics between (a) the drain/gate and the source/gate contacts. To determine the fabrication step which causes the leakage path at the n-type/p-type diamond interface, two different pn diodes (Fig. 8.28) were fabricated with different epilayer growth methods. The first device was fabricated by using the SAG method for the p-type epilayers and the second device was performed with a continues growth diamond epilayer process followed by etching the pn junction region. a) b) Figure 8.28. Diamond pn diodes fabricated by different epilayer growth processes (a) selective area growth (SAG) method and (b) continues epilayer growth method with etching. 105 Figure 8.29. Schematic of the diamond PN diode. The cross section of the pn diode is shown in Fig. 8.29. A n-type diamond epilayer was grown on a HPHT substrate, then the p-type epilayers were grown using two different methods: a) The p- epilayer and p+ epilayer were grown using the SAG method with a SiO2 mask, using two different SAG processes for each epilayer. b) The p- epilayer and p+ epilayer were grown consecutively after the n-type epilayer. After the growth of the 3 layers, a diamond etching process was performed to obtain the mesa structures. The ohmic contacts (Ti/Pt/Au) were later annealed in vacuum at 600oC for 1hr. In order to improve the contact resistance. The phosphorus n-type diamond growth process on (100) diamond substrates is not optimized for this diamond crystalline surface orientation, since its very sensitive to the surface conditions, creating defects affecting the following epilayers. From Fig. 8.28, it can be noticed that the SAG growth method roughens the surface, while the continuous growth has a smooth surface. From the pn diodes IV measurements (Fig. 8.30) it can be observed that both growth processes are not rectifying. Indicating that the grown defects can create leakage paths through the consecutive epilayers for that the RIE etching process, or the SAG mask material is affecting the interface for the case of the selective grown sample. 106 p epilayerp epilayern epilayer ) A ( t n e r r u C 1.00E-01 1.00E-03 1.00E-05 1.00E-07 1.00E-09 1.00E-11 1.00E-13 1.00E-15 ) A ( t n e r r u C 1.00E-01 1.00E-03 1.00E-05 1.00E-07 1.00E-09 1.00E-11 1.00E-13 1.00E-15 -20 -10 0 Voltage (V) 10 20 -20 -10 0 Voltage (V) 10 20 a) b) Figure 8.30. Diamond pn diodes IV curves fabricated by different epilayer growth processes (a) selective area growth (SAG) method and (b) continues epilayer growth method. These results demonstrate that the conditions to form the pn junction still needs improvement in order to implement it to the JFET structure, which would subsequently reduce the leakage paths at the gate region. In order to improve the fabrication process, the phosphorus doped epilayer growth process should be optimized in order to obtain defect free surfaces and a higher doping concentration in order to improve the contact resistance of the gate contact. A study has also demonstrated the formation of surface doping (C-Si bonds) between the SiO2 mask and the semiconductor material at high temperatures [77]. In the case of diamond, the interface bonds were created during the SAG 107 process [78] due to the harsh growth conditions. To address the roughening of the selective grown epilayers, the relation between the mask material and the RIE etching process should be enhanced in order to prevent the micromasking effect and to obtain smooth vertical walls. 8.4.3.3. Demonstration of gate modulation To test the channel width modulation due to the gate the vertical channel structure, a MOSFET device based (Fig. 8.31) on the same channel formation process as used for the vertical JFET was fabricated. This was done by changing the n-type diamond gate region to a MOS structure. An upper p+ epilayer was grown on top of the p- epilayer in order to improve the contact resistance of the source contact. After the RIE etching process explained in Section 8.4.3 C) for the creation of the fin-like channel, a SiO2 layer (0.5µm) was grown by PECVD and defined by a lithography process and a wet etching process using BOE. The metal electrodes (Ti/Au) were deposited by DC magnetron sputtering. Figure 8.31. Diamond based vertical MOSFET. Fig. 8.33 demonstrates the control of the width modulation of the channel by the variation of the gate voltage. The channel width is decreasing due to the depletion region caused by the 108 positive gate bias, behaving like a p-type channel FET. Due to the width of the channel the MOSFET operates on a triode regime, never achieving saturation [79]. The cross sections of the MOSFET is shown in Fig. 8.32 Figure 8.32. Cross section of the fabricated MOSFET, for the gate region and the source region. ) A ( t n e r r u C 1.00E-04 0.00E+00 -1.00E-04 -2.00E-04 -3.00E-04 -4.00E-04 -5.00E-04 -6.00E-04 -7.00E-04 -8.00E-04 Vg=50V Vg=40V Vg=30V Vg=20V Vg=10V Vg=0V Vg=-10V -10 -8 -4 -6 Voltage (V) -2 0 Figure 8.33. Diamond p-type MOSFET IV characteristics. The channel width was approximate 1.5µm. Fig. 8.34 demonstrate the IV measurements between the gate and the drain and source, respectively. It is observed that by applying a reverse bias the leakage current is low, which gives the gate the ability to control the depletion region of the channel due to the electrical field generated at the dielectric, acting like a capacitor. 109 -10 -8 -6 -4 ) A ( G D I t n e r r u C 4.00E-08 3.50E-08 3.00E-08 2.50E-08 2.00E-08 1.50E-08 1.00E-08 5.00E-09 0.00E+00 ) A ( G S I t n e r r u C 4.50E-08 4.00E-08 3.50E-08 3.00E-08 2.50E-08 2.00E-08 1.50E-08 1.00E-08 5.00E-09 0.00E+00 -2 0 Voltage VDG (V) 2 4 6 8 10 a) -10 -5 0 Voltage VSG (V) 5 10 b) Figure 8.34. IV Characteristics of the a) drain/gate and b) source/gate junction. Given that the MOSFET had control of the channel modulation, indicates that the fabrication step for the gate formation of the JFET is still not optimized, which gave high leakage currents. 8.5. Conclusions Two different p-type diamond bulk conduction FETs are shown in this study. The first one is a diamond-based MESFET, having a Schottky contact as the gate in order to control the width of the p-type channel between the source and drain. The second device is diamond-based V-JFET, in which we use a n-type diamond epilayer in order to have control of the p-type channel modulation. 110 The MESFET is a normally-on device at VG=0V, having a pinch off voltage of VG= 6V at room temperature. It was shown that as we increase the temperature, the drain current (IDS) value increased 100 times its value from RT to 150oC, then it had a constant current at a temperature of 150-430oC. But the rectification ratio dropped 3 times its value from RT to 430oC. It was demonstrated that the device was able to withstand a reverse bias voltage up to 700 V. Then the breakdown that did happened was due to a dielectric breakdown of the air between the probes during measurement. The designed TCAD model of the MESFET was performed at different temperatures, incorporating diamond parameters for the hole mobility, bandgap and the density of states. It was observed that at low temperatures, the behavior of the FET was very proximate, but at higher temperatures the drain current in the simulation had a decrease due to the low mobility of the majority carriers in the channel. It is speculated that the mobility model for higher temperatures may not be accurate in the simulation. A TCAD model of the V-JFET was done based the desired design, physical properties of the materials and experimental data found in the literature. The simulations of the device structure showed a breakdown voltage that exceeded 1000V with a pinch-off voltage of VGS=-24V at room temperature. After the fabrication process of the JFET, it was observed that the leakage current between the gate/source and gate/drain was substantial, giving no proper control of the channel modulation. So pn diodes grown by SAG and continuos epilayer growth were fabricated in order to verify the leakage path, but unfortunately both methods had a high leakage current, indicating that the n-type growth recipe is still not optimized since the created defects serve as a leakage path. 111 MOSFET devices were fabricated in order to observe the channel modulation with gate voltage variation for the vertical channel desired for the vertical JFET. Given that the channel was pinching off as the gate voltage increased to positive values, this indicates that the vertical channel fabrication process does work. A conclusion is that it is necessary to improve the SAG method and the n-type diamond epilayer growth. 112 CHAPTER 9. ACCOMPLISHMENTS AND FUTURE WORK 9.1. Accomplishments The main objectives of this research were to fabricate RF and high-power diamond-based devices, to study and investigate the experimental characteristics of these devices, and to develop accurate modelling and simulations of these diamond devices for their design and analysis. The specific goals were to: • Design, fabricate and characterize diamond Schottky barrier diodes to obtain low power losses at high frequency operation (GHz range) by decreasing the series resistance and junction capacitance. • Design, fabricate and characterize normally-on diamond FETs for high power applications using diamond epilayer growth. The focus is on using a bulk conduction channel to obtain high stability at high temperatures. • Design, fabricate, and characterize Ga2O3-diamond heterojunction PN diodes for high power applications. This includes studying the properties and fabrication techniques of the WBG material Ga2O3 as an alternative to n-type diamond semiconductor material. Overall, these goals were generally met with both experimental devices fabricated and tested, as well as, simulations performed of these devices. The simulations used diamond material parameters and various interface physical models to understand the experimental data. The following subsections detail these accomplishments. 9.1.1. Lightly boron doped CVD diamond growth • Developed a lightly boron doped diamond growth recipe using a MPACVD diamond reactor, for low growth rate, smooth surface, low surface roughness and a range of boron 113 doping concentration between NA=1x1015-1x1017 cm-3. The growth rate was approximately 1µm/hr, making it a repetitive growth process for thin epilayers. • Developed an intrinsic diamond growth recipe, with high growth rate (4µm/hr), capable of obtaining smooth surfaces for thick grown layers (≈100µm) and low incorporation of unintentional dopants for electronic grade intrinsic epilayers. 9.1.2. Diamond fabrication technologies • Studied, analyzed and implemented pre-established semiconductor fabrication technologies on diamond device fabrication. • Improved the optical lithography process to obtain low edge bead profiles on substrates with dimensions ≥3.5x3.5mm2. The process obtained patterns in the low micron scale (~ 1 µm feather size) for a more precise pattern definition. • Improved and characterized an anisotropic dry etching process for diamond substrates using an ICP-RIE system with a gas recipe of O2/Ar/SF6. This etching process obtained a smooth etched surface and near zero micromasking effects. The etching rate was approximately 70nm/min. • Analyzed different hard-mask materials for high selectivity of diamond etching, in order to achieve high etching profiles without the need to deposit thick metal layers. For this study a Ti/Cu hard masks was deposited using a e-beam deposition system (PVD). The selectivity of the mask material was not studied in detail, however a diamond selectivity of least >20 with respect to the mask material was observed. • Improved the material properties of PECVD grown SiO2 layers to obtain a more robust oxide layer for subsequent processing. By using an annealing process, the SiO2 layer gets 114 densified, which decreased the lateral etch using a wet chemical treatment (BOE solution) to define the device patterns after lithography. • Analyzed and implemented a sulfite-based gold electroplating setup to electroplate low resistance transmission lines on diamond SBDs for the RF characterization. 9.1.3. Diamond-based device simulations with TCAD Sentaurus • Studied and applied the knowledge obtained in the literature for the device design and implementation of material physical properties and device physical mechanisms to analyze the behavior of the studied diamond devices architectures. • Studied the application of non-local meshes on contacts and interfaces to implement the needed carrier transport mechanisms. • Designed and characterized the IV measurements of a diamond SBD under a forward bias. By implementing a MIS model to simulate the MS interface the realistic non-ideal forward current behavior was modeled. This model considered the Fermi-pinning effect due to the oxygen termination of the surface before metal deposition. • Designed and characterized the IV measurements of Ga2O3-diamond heterojunction pn diode under forward bias. Thermionic emission, barrier tunneling and trap assisted tunneling models were applied to the heterointerface to recreate the diode behavior. • Designed and characterized the FET IV measurements of a diamond MESFET at the forward regime at different temperatures. The implementation of models for the carrier mobility, band gap and density of states at high temperatures (T<300K) were needed to recreate the MESFET current flow. • Designed, fabricated and characterized the FET IV measurements of a diamond V-JFET. It was found that the selective area growth process for diamond had substantial leakage current, 115 however the current flow in the vertical JFET channel was demonstrated to be gate voltage controlled. 9.2. Future work As with most semiconductor device research efforts an important outcome is a better understanding of the device fabrication processes, operating device physics and improved models for device simulation. Another outcome is a list of ways to improve the devices and their modeling in future work. The following subsections list future work and improvement ideas. 9.2.1. CVD-diamond deposition recipe improvements • Characterize the lightly boron doped recipe that results from exchanging O2 gas for CO2 input feed gas in the growth process. This is needed to obtain different doping concentrations and different growth rates for future device applications. • Study and characterize the hydrogen plasma etching process that may occur at the start of the deposition in order to pretreat the diamond surface prior to the diamond growth process to reduce defects. • Design different holders with a variation of substrate pocket sized to improve the growth conditions of different sized samples. 9.2.2. Diamond based Schottky barrier diodes • Improve the oxygen termination process for the surface passivation and Fermi-pinning of the metal-semiconductor interfaces. • Develop a lightly boron doped diamond recipe for lower growth rates to achieve thinner drift layers with precise thin epilayer thicknesses. This change is to decrease the series resistance of the SBD and improve the RF characteristics of the device. 116 • Obtain C-V measurements to calculate the exact charge density on the interface layer in order to implement a more accurate TCAD simulation of the forward regime of the SBD. 9.2.2. Ga2O3-diamond heterojunction pn diodes • Study and characterize a better cleaving method for obtaining low roughness thin pieces of Ga2O3. Since the method used in this study was not always ideal, Ga2O3 pieces obtained has some broken atomic layers on the surface that impeded the full surface bonding on the diamond substrate. • Improve the annealing process for Ga2O3 ohmic contacts for reduced contact resistance and diminish the contact rectifying behavior. • Obtain C-V measurements of the pn diode to determine the trap density at the Ga2O3- diamond heterointerface. And input the results for a more precise TCAD simulation of the pn diode. • Improve the low temperature OH terminated bonding process to achieve a stronger bond and decrease the trap density at the interface. This is expected to give pn diodes with lower ideality factors. • Obtain DC measurements in the reverse bias regime in order to study the breakdown capability of the device. 9.2.3. Diamond based MESFET • Analyze the Schottky barrier height for the gate contact to input an accurate barrier height value for the TCAD simulations. A typical value of 1.7eV was used for the simulations, because it is a commonly reported value for oxygen terminated surfaces. 117 • Improve the implementation of the mobility model for the TCAD simulation of the MESFET. The mobility model of the University of Bologna (UniBo) has to be characterized to obtain more accurate carrier mobilities versus temperature. 9.2.4. Diamond based V-JFET • Improve the growth conditions for the n-type diamond epilayer to reduce the formation of crystalline defects and improve the incorporation of phosphorus atoms in (100) diamond substrates. By increasing the doping concentration the conductivity should be larger and the ohmic contacts should have lower resistance. • Study and implement different mask materials for the selective area growth process of diamond epilayers. Chemically stable materials are necessary to prevent the interaction at the interface between the mask and the selective grown diamond, which with the current process is believed to create an unwanted conductive layer at the mask diamond interface. • Characterize the etching damage of the diamond surface after an ICP-RIE process with the intent to decrease the damage and prevent the formation of a defective interface between the grown epilayer during SAG and the previous diamond layer. 118 REFERENCES [1] B. Ozpineci, “Comparison of wide bandgap semiconductors for power applications,” p. 7. [2] F. Shi and H. Qiao, “Preparations, properties and applications of gallium oxide nanomaterials – A review,” Nano Sel., vol. 3, no. 2, pp. 348–373, 2022, doi: 10.1002/nano.202100149. [3] M. Higashiwaki, “β-Ga2O3 material properties, growth technologies, and devices: a review,” AAPPS Bull., vol. 32, no. 1, p. 3, Jan. 2022, doi: 10.1007/s43673-021-00033-0. [4] A. Traoré, P. Muret, A. Fiori, D. Eon, E. Gheeraert, and J. Pernot, “Zr/oxidized diamond interface for high power Schottky diodes,” Appl. Phys. Lett., vol. 104, no. 5, p. 052105, Feb. 2014, doi: 10.1063/1.4864060. [5] H. Umezawa, M. Nagase, Y. Kato, and S. Shikata, “High temperature application of diamond power device,” Diam. Relat. Mater., vol. 24, pp. 201–205, Apr. 2012, doi: 10.1016/j.diamond.2012.01.011. [6] J. Zhang et al., “Hydrogen terminated diamond diode with high breakdown voltage,” in Sixth Symposium on Novel Optoelectronic Detection Technology and Applications, SPIE, Apr. 2020, pp. 1745–1751. doi: 10.1117/12.2565304. [7] N. Donato, N. Rouger, J. Pernot, G. Longobardi, and F. Udrea, “Diamond power devices: state of the art, modelling, figures of merit and future perspective,” J. Phys. Appl. Phys., vol. 53, no. 9, p. 093001, Dec. 2019, doi: 10.1088/1361-6463/ab4eab. [8] K. G. Crawford et al., “Diamond Field-Effect Transistors With V2O5-Induced Transfer Doping: Scaling to 50-nm Gate Length,” IEEE Trans. Electron Devices, vol. 67, no. 6, pp. 2270–2275, Jun. 2020, doi: 10.1109/TED.2020.2989736. [9] “Surface transfer doped diamond diodes with metal oxide passivation and field-plate | Applied Physics Letters | AIP Publishing.” https://pubs.aip.org/aip/apl/article/122/9/093503/2880889/Surface-transfer-doped-diamond- diodes-with-metal (accessed May 02, 2023). [10] P. Strobel, M. Riedel, J. Ristein, and L. Ley, “Surface transfer doping of diamond,” Nature, vol. 430, no. 6998, Art. no. 6998, Jul. 2004, doi: 10.1038/nature02751. [11] H. El-Hajj, A. Denisenko, A. Kaiser, R. S. Balmer, and E. Kohn, “Diamond MISFET based on boron delta-doped channel,” Diam. Relat. Mater., vol. 17, no. 7, pp. 1259–1263, Jul. 2008, doi: 10.1016/j.diamond.2008.02.015. [12]“Fabrication of diamond modulation-doped FETs by NO 2 delta doping in an Al 2 O 3 gate layer | Request PDF.” https://www.researchgate.net/publication/350571891_Fabrication_of_diamond_modulation- doped_FETs_by_NO_2_delta_doping_in_an_Al_2_O_3_gate_layer (accessed May 02, 2023). 119 [13] R. S. Balmer et al., “Transport behavior of holes in boron delta-doped diamond structures,” J. Appl. Phys., vol. 113, no. 3, p. 033702, Jan. 2013, doi: 10.1063/1.4775814. [14] S. Russell, S. Sharabi, A. Tallaire, and D. A. J. Moran, “RF Operation of Hydrogen- Terminated Diamond Field Effect Transistors: A Comparative Study,” IEEE Trans. Electron Devices, vol. 62, no. 3, pp. 751–756, Mar. 2015, doi: 10.1109/TED.2015.2392798. [15] M. Kasu, K. Ueda, H. Ye, Y. Yamauchi, S. Sasaki, and T. Makimoto, “2 W/mm output power density at 1 GHz for diamond FETs,” Electron. Lett., vol. 41, no. 22, pp. 1249–1250, Oct. 2005, doi: 10.1049/el:20053194. [16] M. Kasu et al., “Influence of epitaxy on the surface conduction of diamond film,” Diam. Relat. Mater., vol. 13, no. 2, pp. 226–232, Feb. 2004, doi: 10.1016/j.diamond.2003.10.025. [17] C. Mer-Calfati, N. Tranchant, P. N. Volpe, J.-C. Arnault, P. Bergonzo, and F. Jomard, “Diamond delta doped structures exhibiting ultra-sharp interfaces,” in 2014 9th European Microwave Integrated Circuit Conference, Oct. 2014, pp. 144–145. doi: 10.1109/EuMIC.2014.6997812. [18] J. E. Butler et al., “Nanometric diamond delta doping with boron,” Phys. Status Solidi RRL – Rapid Res. Lett., vol. 11, no. 1, p. 1600329, 2017, doi: 10.1002/pssr.201600329. [19] T. Iwasaki et al., “Diamond semiconductor JFETs by selectively grown n -diamond side gates for next generation power devices,” in 2012 International Electron Devices Meeting, Dec. 2012, p. 7.5.1-7.5.4. doi: 10.1109/IEDM.2012.6478999. [20] M. Malakoutian et al., “Polycrystalline diamond growth on $\upbeta$-Ga2O3 for thermal management,” Appl. Phys. Express, vol. 14, no. 5, p. 055502, Apr. 2021, doi: 10.35848/1882-0786/abf4f1. [21] T. Matsumae et al., “Low-temperature direct bonding of β-Ga2O3 and diamond substrates under atmospheric conditions,” Appl. Phys. Lett., vol. 116, no. 14, p. 141602, Apr. 2020, doi: 10.1063/5.0002068. [22] P. Sittimart, S. Ohmagari, T. Matsumae, H. Umezawa, and T. Yoshitake, “Diamond/β- Ga2O3pn heterojunction diodes fabricated by low-temperature direct-bonding,” AIP Adv., vol. 11, no. 10, Oct. 2021, doi: 10.1063/5.0062531. [23] H. Ding, K. Isoird, H. Schneider, S. Kone, and G. Civrac, “Basic parameters and models in simulation of CVD diamond devices,” Diam. Relat. Mater., vol. 19, no. 5, pp. 500–502, May 2010, doi: 10.1016/j.diamond.2009.12.014. [24] A. Nawawi, “STUDY OF SINGLE CRYSTAL DIAMOND SCHOTTKY BARRIER DIODES FOR POWER ELECTRONICS APPLICATIONS,” Ph. D dissertation, Nanyang Technological University, 2014. [Online]. Available: https://hdl.handle.net/10356/61611 120 [25] S. J. Rashid et al., “Numerical Parameterization of Chemical-Vapor-Deposited (CVD) Single-Crystal Diamond for Device Simulation and Analysis,” IEEE Trans. Electron Devices, vol. 55, no. 10, pp. 2744–2756, Oct. 2008, doi: 10.1109/TED.2008.2003225. [26] D. M. Caughey and R. E. Thomas, “Carrier mobilities in silicon empirically related to doping and field,” Proc. IEEE, vol. 55, no. 12, pp. 2192–2193, Dec. 1967, doi: 10.1109/PROC.1967.6123. [27] “(PDF) The potential of diamond and SiC electronic devices for microwave and millimeter- wave power applications.” https://www.researchgate.net/publication/2984432_The_potential_of_diamond_and_SiC_ele ctronic_devices_for_microwave_and_millimeter-wave_power_applications (accessed Aug. 05, 2022). [28] S. J. Rashid et al., “Modelling of single-crystal diamond Schottky diodes for high-voltage applications,” Diam. Relat. Mater., vol. 15, no. 2, pp. 317–323, Feb. 2006, doi: 10.1016/j.diamond.2005.06.019. [29] J. Isberg, M. Gabrysch, A. Tajani, and D. Twitchen, “High-Field Electrical Transport in Single Crystal CVD Diamond Diodes,” Adv. Sci. Technol., vol. 48, pp. 73–76, Oct. 2006, doi: 10.4028/www.scientific.net/AST.48.73. [30] M. Schwander and K. Partes, “A review of diamond synthesis by CVD processes,” Diam. Relat. Mater., vol. 20, no. 9, pp. 1287–1301, Oct. 2011, doi: 10.1016/j.diamond.2011.08.005. [31] H. Sternschulte, T. Bauer, M. Schreck, and B. Stritzker, “Comparison of MWPCVD diamond growth at low and high process gas pressures,” Diam. Relat. Mater., vol. 15, no. 4, pp. 542–547, Apr. 2006, doi: 10.1016/j.diamond.2006.01.010. [32] T. Tsuno, H. Shiomi, Y. Kumazawa, S. Shikata, and S. Akai, “Growth Rate and Surface Morphology of Diamond Homoepitaxial Films on Misoriented (001) Substrates,” Jpn. J. Appl. Phys., vol. 35, no. 9R, p. 4724, Sep. 1996, doi: 10.1143/JJAP.35.4724. [33] O. Maida, H. Miyatake, T. Teraji, and T. Ito, “Characterization of substrate off-angle effects for high-quality homoepitaxial CVD diamond films,” Diam. Relat. Mater., vol. 17, no. 4, pp. 435–439, Apr. 2008, doi: 10.1016/j.diamond.2007.12.052. [34] C. J. Tang, A. J. Neves, and A. J. S. Fernandes, “Study the effect of O2 addition on hydrogen incorporation in CVD diamond,” Diam. Relat. Mater., vol. 13, no. 1, pp. 203–208, Jan. 2004, doi: 10.1016/j.diamond.2003.10.032. [35] J. Stiegler, T. Lang, M. Nyga˚rd-Ferguson, Y. von Kaenel, and E. Blank, “Low temperature limits of diamond film growth by microwave plasma-assisted CVD,” Diam. Relat. Mater., vol. 5, no. 3, pp. 226–230, Apr. 1996, doi: 10.1016/0925-9635(95)00349-5. [36] I. Sakaguchi, M. Nishitani-Gamo, K. P. Loh, K. Yamamoto, H. Haneda, and T. Ando, “Effect of oxygen addition on boron incorporation on semiconductive diamond CVD,” 121 Diam. Relat. Mater., vol. 7, no. 8, pp. 1144–1147, Aug. 1998, doi: 10.1016/S0925- 9635(98)00161-7. [37] S. L. Moore and Y. K. Vohra, “Nitrogen and Silicon Defect Incorporation during Homoepitaxial CVD Diamond Growth on (111) Surfaces,” MRS Online Proc. Libr. Arch., vol. 1734, ed 2015, doi: 10.1557/opl.2015.304. [38] N. Tokuda, H. Umezawa, T. Saito, K. Yamabe, H. Okushi, and S. Yamasaki, “Surface roughening of diamond (001) films during homoepitaxial growth in heavy boron doping,” Diam. Relat. Mater., vol. 16, no. 4, pp. 767–770, Apr. 2007, doi: 10.1016/j.diamond.2006.12.024. [39] R. Locher, J. Wagner, F. Fuchs, M. Maier, P. Gonon, and P. Koidl, “Optical and electrical characterization of boron-doped diamond films,” Diam. Relat. Mater., vol. 4, no. 5, pp. 678– 683, May 1995, doi: 10.1016/0925-9635(94)05297-2. [40] M. Ogura, H. Kato, T. Makino, H. Okushi, and S. Yamasaki, “Misorientation-angle dependence of boron incorporation into (001)-oriented chemical-vapor-deposited (CVD) diamond,” J. Cryst. Growth, vol. 317, no. 1, pp. 60–63, Feb. 2011, doi: 10.1016/j.jcrysgro.2011.01.010. [41] F. Maier, J. Ristein, and L. Ley, “Electron affinity of plasma-hydrogenated and chemically oxidized diamond (100) surfaces,” Phys. Rev. B, vol. 64, no. 16, p. 165411, Oct. 2001, doi: 10.1103/PhysRevB.64.165411. [42] G. Alba, M. P. Villar, R. Alcántara, J. Navas, and D. Araujo, “Surface States of (100) O- Terminated Diamond: Towards Other 1 × 1:O Reconstruction Models,” Nanomaterials, vol. 10, no. 6, p. 1193, Jun. 2020, doi: 10.3390/nano10061193. [43] C. Zhen, Y. Wang, S. He, Q. Guo, Z. Yan, and Y. Pu, “Ohmic contacts to boron-doped diamond,” Opt. Mater., vol. 23, no. 1, pp. 117–121, Jul. 2003, doi: 10.1016/S0925- 3467(03)00071-5. [44] J. Nakanishi, A. Otsuki, T. Oku, O. Ishiwata, and M. Murakami, “Formation of ohmic contacts to p‐type diamond using carbide forming metals,” J. Appl. Phys., vol. 76, no. 4, pp. 2293–2298, Aug. 1994, doi: 10.1063/1.357649. [45] K. Xing et al., “Palladium forms Ohmic contact on hydrogen-terminated diamond down to 4 K,” Appl. Phys. Lett., vol. 116, no. 11, p. 111601, Mar. 2020, doi: 10.1063/1.5141775. [46] M. Zhang et al., “Ohmic Contact of Pt/Au on Hydrogen-Terminated Single Crystal Diamond,” Coatings, vol. 9, no. 9, Art. no. 9, Sep. 2019, doi: 10.3390/coatings9090539. [47] “Ohmic contact between iridium film and hydrogen-terminated single crystal diamond,” ResearchGate. https://www.researchgate.net/publication/319988365_Ohmic_contact_between_iridium_film _and_hydrogen-terminated_single_crystal_diamond (accessed Apr. 05, 2020). 122 [48] “Development of diamond schottky barrier diode,” ResearchGate. https://www.researchgate.net/publication/279690846_Development_of_diamond_schottky_b arrier_diode (accessed Apr. 05, 2020). [49] J. Ristein, F. Maier, M. Riedel, J. B. Cui, and L. Ley, “Surface Electronic Properties of Diamond,” Phys. Status Solidi A, vol. 181, no. 1, pp. 65–76, 2000, doi: 10.1002/1521- 396X(200009)181:1<65::AID-PSSA65>3.0.CO;2-Z. [50] A. Nawawi, K. J. Tseng, Rusli, G. A. J. Amaratunga, H. Umezawa, and S. Shikata, “Characterization of vertical Mo/diamond Schottky barrier diode from non-ideal I–V and C– V measurements based on MIS model,” Diam. Relat. Mater., vol. 35, pp. 1–6, May 2013, doi: 10.1016/j.diamond.2013.03.002. [51] “Growth of GaN free from cracks on a (111)Si substrate by selective metalorganic vapor- phase epitaxy | Applied Physics Letters | AIP Publishing.” https://pubs.aip.org/aip/apl/article/80/2/222/514865/Growth-of-GaN-free-from-cracks-on-a- 111-Si (accessed Apr. 19, 2023). [52] W. Fei et al., “Point-Arc Remote Plasma Chemical Vapor Deposition for High-Quality Single-Crystal Diamond Selective Growth,” Phys. Status Solidi A, vol. 216, no. 21, p. 1900227, 2019, doi: 10.1002/pssa.201900227. [53] T. Teraji, M. Katagiri, S. Koizumi, T. Ito, and H. Kanda, “Ohmic Contact Formation for N- Type Diamond by Selective Doping,” Jpn. J. Appl. Phys., vol. 42, no. 8A, p. L882, Aug. 2003, doi: 10.1143/JJAP.42.L882. [54] “Selective-Area Growth of Thick Diamond Films Using Chemically Stable Masks of Ru/Au and Mo/Au - IOPscience.” https://iopscience.iop.org/article/10.1143/JJAP.51.070202 (accessed Apr. 19, 2023). [55] H. Kato, T. Makino, M. Ogura, N. Tokuda, H. Okushi, and S. Yamasaki, “Selective Growth of Buried n+ Diamond on (001) Phosphorus-Doped n-Type Diamond Film,” Appl. Phys. Express, vol. 2, no. 5, p. 055502, May 2009, doi: 10.1143/APEX.2.055502. [56] M.-L. Hicks, A. C. Pakpour-Tabrizi, and R. B. Jackman, “Diamond Etching Beyond 10 μm with Near-Zero Micromasking,” Sci. Rep., vol. 9, no. 1, p. 15619, Oct. 2019, doi: 10.1038/s41598-019-51970-8. [57] C. L. Lee, E. Gu, M. D. Dawson, I. Friel, and G. A. Scarsbrook, “Etching and micro-optics fabrication in diamond using chlorine-based inductively-coupled plasma,” Diam. Relat. Mater., vol. 17, no. 7, pp. 1292–1296, Jul. 2008, doi: 10.1016/j.diamond.2008.01.011. [58] D. T. Tran, C. Fansler, T. A. Grotjohn, D. K. Reinhard, and J. Asmussen, “Investigation of mask selectivities and diamond etching using microwave plasma-assisted etching,” Diam. Relat. Mater., vol. 19, no. 7, pp. 778–782, Jul. 2010, doi: 10.1016/j.diamond.2010.02.001. 123 [59] L. Jiang, N. O. V. Plank, M. A. Blauw, R. Cheung, and E. Van Der Drift, “Dry etching of SiC in inductively coupled Cl2/Ar plasma,” J. Phys. Appl. Phys., vol. 37, no. 13, pp. 1809– 1814, 2004, doi: 10.1088/0022-3727/37/13/012. [60] B. J. M. Hausmann et al., “Fabrication of diamond nanowires for quantum information processing applications,” Diam. Relat. Mater., vol. 19, no. 5, pp. 621–629, May 2010, doi: 10.1016/j.diamond.2010.01.011. [61] A. Toros et al., “Reactive ion etching of single crystal diamond by inductively coupled plasma: State of the art and catalog of recipes,” Diam. Relat. Mater., vol. 108, p. 107839, Oct. 2020, doi: 10.1016/j.diamond.2020.107839. [62] “Precision micro-mechanical components in single crystal diamond by deep reactive ion etching | Microsystems & Nanoengineering.” https://www.nature.com/articles/s41378-018- 0014-5 (accessed Apr. 20, 2023). [63] B. Khanaliloo, M. Mitchell, A. C. Hryciw, and P. E. Barclay, “High-Q/V Monolithic Diamond Microdisks Fabricated with Quasi-isotropic Etching,” ACS Publications, Jul. 10, 2015. https://pubs.acs.org/doi/pdf/10.1021/acs.nanolett.5b01346 (accessed Apr. 19, 2023). [64] M. Ozgur, M. Pedersen, and M. Huff, “Comparison of the Etch Mask Selectivity of Nickel and Copper for a Deep, Anisotropic Plasma Etching Process of Silicon Carbide (SiC),” ECS J. Solid State Sci. Technol., vol. 7, no. 2, p. P55, Jan. 2018, doi: 10.1149/2.0121802jss. [65] Y. Kato et al., “Estimation of Inductively Coupled Plasma Etching Damage of Boron- Doped Diamond Using X-Ray Photoelectron Spectroscopy,” Phys. Status Solidi A, vol. 214, no. 11, p. 1700233, 2017, doi: 10.1002/pssa.201700233. [66] X. Konstantinou, “FLEXIBLE, COMPACT, AND HIGH-POWER-COMPATIBLE PACKAGING OF MICROWAVE AND MILLIMETER-WAVE ELECTRONICS,” Ph. D dissertation, Michigan State University. [Online]. Available: https://doi.org/doi:10.25335/ez7q-kj24 [67] X. M. Shen, D. G. Zhao, Z. S. Liu, Z. F. Hu, H. Yang, and J. W. Liang, “Space-charge- limited currents in GaN Schottky diodes,” Solid-State Electron., vol. 49, no. 5, pp. 847–852, May 2005, doi: 10.1016/j.sse.2005.02.003. [68] S. FONASH, “A REEVALUATION OF THE MEANING OF CAPACITANCE PLOTS FOR SCHOTTKY-BARRIER-TYPE DIODES,” Reeval. Mean. Capacit. PLOTS SCHOTTKY-BARRIER-TYPE DIODES, 1983. [69] “(PDF) Parameter extraction from non-ideal CV characteristics of a Schottky diode with and without interfacial layer.” https://www.researchgate.net/publication/243273535_Parameter_extraction_from_non- ideal_CV_characteristics_of_a_Schottky_diode_with_and_without_interfacial_layer (accessed Apr. 27, 2023). 124 [70] P. Chattopadhyay and A. N. Daw, “On the current transport mechanism in a metal— insulator—semiconductor (MIS) diode,” Solid-State Electron., vol. 29, no. 5, pp. 555–560, May 1986, doi: 10.1016/0038-1101(86)90078-X. [71] M. Malakoutian, M. Benipal, F. A. Koeck, R. J. Nemanich, and S. Chowdhury, “Schottky Barrier Height Analysis of Diamond SPIND Using High Temperature Operation up to 873 K,” IEEE J. Electron Devices Soc., vol. 8, pp. 614–618, 2020, doi: 10.1109/JEDS.2020.2999269. [72] E.Berdermann and M. Ciobanu, “CVD Diamond for Electronic Devices and Sensors Edited by Ricardo S. Sussmann © 2009 John Wiley & Sons, L td. ISBN: 978-0-470-06532-7,” 2009, pp. 227–255. [73] H. Kim et al., “Ultrawide-Bandgap p-n Heterojunction of Diamond/$\upbeta$-Ga2O3 for a Solar-Blind Photodiode,” ECS J. Solid State Sci. Technol., vol. 9, no. 4, p. 045004, Apr. 2020, doi: 10.1149/2162-8777/ab89b8. [74] A. Schenk, “A model for the field and temperature dependence of Shockley-Read-Hall lifetimes in silicon,” Solid-State Electron., vol. 35, no. 11, pp. 1585–1596, Nov. 1992, doi: 10.1016/0038-1101(92)90184-E. [75] G. A. M. Hurkx, D. B. M. Klaassen, and M. P. G. Knuvers, “A new recombination model for device simulation including tunneling,” IEEE Trans. Electron Devices, vol. 39, no. 2, pp. 331–338, Feb. 1992, doi: 10.1109/16.121690. [76] Y. Wu, C. Herrera, A. Hardy, M. Muehle, T. Zimmermann, and T. Grotjohn, “Diamond Metal-Semiconductor Field Effect Transistor for High Temperature Applications,” Jun. 2019, pp. 155–156. doi: 10.1109/DRC46940.2019.9046336. [77] K. Fu et al., “The impact of interfacial Si contamination on GaN-on-GaN regrowth for high power vertical devices,” Appl. Phys. Lett., vol. 118, no. 22, p. 222104, Jun. 2021, doi: 10.1063/5.0049473. [78] W. Fei, T. Bi, M. Iwataki, S. Imanishi, and H. Kawarada, “Oxidized Si terminated diamond and its MOSFET operation with SiO2gate insulator,” Appl. Phys. Lett., vol. 116, no. 21, May 2020, doi: 10.1063/1.5143982. [79] “Diamond lateral FinFET with triode-like behavior | Scientific Reports.” https://www.nature.com/articles/s41598-020-59049-5 (accessed May 03, 2023). 125 APPENDIX A – MATERIAL PARAMETER FILES Material parameter file for the implementation of Ga2O3 and diamond in TCAD sentaurus for diamond-based devices. Material = "Ga2o3" { Epsilon {epsilon = 10 } Kappa { * Lattice thermal conductivity * kappa() = kappa + kappa_b * T + kappa_c * T^2 kappa = 0.3 # [W/(K cm)] kappa_b = 0.0000e+00 # [W/(K^2 cm)] kappa_c = 0.0000e+00 # [W/(K^3 cm)] } Ionization {Species ("nSiliconActiveConcentration") { E_0 = 0.030 # [eV] alpha = 3.398e-08 # [eV cm] g = 2 # [1] Xsec = 1.0000e-12 # [cm^2/sec]} } Bandgap { * Eg = Eg0 + alpha Tpar^2 / (beta + Tpar) - alpha T^2 / (beta + T) Chi0 = 4.000 # [eV] Bgn2Chi = 0.500 # [1] Eg0 = 4.90 # [eV] * Assume linear model for now. Introduce beta for full model. alpha = 4.4500e-03 # [eV K^-1] beta = 2.0000e+03 # [K] Tpar = 3.0000e+02 # [K] } eDOSMass { Formula = 2 # [1] * Nc(T) = Nc300 * (T/300)^3/2 Nc300 = 3.710000e+18 # [cm-3] } hDOSMass { Formula = 2 # [1] * Nv(T) = Nv300 * (T/300)^3/2 Nv300 = 1.16000e+19 # [cm-3] } ConstantMobility: { * mu_const = mumax (T/T0)^(-Exponent) mumax = 250 , 8 # [cm^2/(Vs)] * Exponent = 1.55 , 1.55 # [1] * (T < 343K) Exponent = 2.0, 2.0 # [1] * (T >= 343K)} DopingDependence: {formula * If formula=1, model suggested by Masetti et al. is used: = 1, 1 # [1] 126 * mu_dop = mumin1 exp(-Pc/N) + (mu_const - mumin2)/(1+(N/Cr)^alpha) * - mu1/(1+(Cs/N)^beta) * with mu_const from ConstantMobility = 250 , 44.9 = 250 , 0.0000e+00 # [cm^2/Vs] # [cm^2/Vs] # [cm^2/Vs] 9.2300e+16 # [cm^3] 2.2300e+17 # [cm^3] 6.1000e+20 # [cm^3] mumin1 mumin2 mu1 = 0 , 29 Pc Cr Cs alpha = 0.68 , beta = 2 , 2 = 0.0000e+00 , = 9.6800e+16 , = 3.4300e+20 , 0.719 # [1] # [1] } * --------------------------------------------------------------------------------------------- vanOverstraetendeMan * Impact Ionization: { * G_impact = alpha_n n v_drift_n + alpha_p p v_drift_p * with alpha = gamma a exp(-b gamma/E) for EE0 (high) * with gamma = tanh(hbarOmega/(2kT0)) / tanh(hbarOmega/(2kT)) * Hiraiwa/Kawarada--------------------------- a(low) = 2.5e+06 , 1.930e+05 # [1/cm] a(high) = 2.5e+06 , 1.930e+05 # [1/cm] b(low) = 3.96e+07 , 4.410e+06 # [V/cm] b(high) = 3.96e+07 , 4.410e+06 # [V/cm] E0 = 4.0000e+05 , 4.0000e+05 # [V/cm] hbarOmega = 0.044 , 0.044 # [eV] } Hatakeyama * Impact Ionization: {* Hiraiwa/Kawarada-------------------------- a_0001 = 2.5e+06 , 2.5e+06 # [1/cm] a_1120 = 2.5e+06 , 2.5e+06 # [1/cm] b_0001 = 3.96e+07 , 3.96e+07 # [V/cm] b_1120 = 3.96e+07 , 3.96e+07 # [V/cm] hbarOmega = 0.044 , 0.044 # [eV] theta = 1 , 1 # Sets driving force to direction and magnitude (0 is direction only) } Scharfetter * relation and trap level for SRH recombination: { * tau = taumin + ( taumax - taumin ) / ( 1 + ( N/Nref )^gamma) * tau(T) = tau * ( (T/300)^Talpha ) (TempDep) * tau(T) = tau * exp( Tcoeff * ((T/300)-1) ) (ExpTempDep) taumin = 0.0000e+00 , 0.0000e+00 # [s] * NEED taumax = 0.2100e-9 , 0.2100e-9 # [s] * NEED Nref = 2.0000e+17 , 1.0000e+16 # [cm^(-3)] * NEED gamma = 1 , 1 # [1] Talpha = 0.0000e+00 , 0.0000e+00 # [1] Tcoeff = 0.0000e+00 , 0.0000e+00 # [1] Etrap = 0.0000e+00 # [eV] } Auger * coefficients: { * R_Auger = ( C_n n + C_p p ) ( n p - ni_eff^2) 127 * with C_n,p = (A + B (T/T0) + C (T/T0)^2) (1 + H exp(-{n,p}/N0)) A = 1.0000e-30 , 1.0000e-30 # [cm^6/s] B = 0.0000e+00 , 0.0000e+00 # [cm^6/s] C = 0.0000e+00 , 0.0000e+00 # [cm^6/s] H = 0.0000e+00 , 0.0000e+00 # [1] N0 = 1.0000e+18 , 1.0000e+18 # [cm^(-3)] ThermionicEmission{ = 2 , 2 = 4 , 4 = 1 , 1 # [1] # [1] # [1] = 1.0000e+06 , 1.0000e+06 # [cm/s] A B C vel_org B_org = 4 , 4 C_org = 1 , 1 # [1] # [1] } BarrierTunneling { g = 2, 2 mt = 0.342, 1 } SurfaceRecombination * surface SRH recombination: { * s = S0 ( 1 + Sref ( N/Nref )^gamma ) recombination velocity 1.0000e+03 # [cm/s] = 1.0000e+03 , S0 Sref = 1.0000e-03 # [1] Nref = 1.0000e+16 # [cm^(-3)] gamma = 1 # [1] Etrap = 0.0000e+00 # [eV]}} Material = "Diamond" { Epsilon {epsilon = 5.7} Kappa { kappa = 20.00 # [W/(K cm)] kappa_b = 0.0000e+00 # [W/(K^2 cm)] kappa_c = 0.0000e+00 # [W/(K^3 cm)]} Ionization {E_B_0 = 0.360 # [eV] alpha_B = 3.0370e-08 # [eV cm] g_B = 6 # [1] Xsec_B = 1.0000e-12 # [cm^2/sec] E_N_0 = 1.700 # [eV] alpha_N = 3.0370e-08 # [eV cm] g_N = 4 # [1] Xsec_N = 1.0000e-12 # [cm^2/sec] NaCrit = 2.9e19 * Surface Acceptor E_PDopant_0 = 1.0 # [eV] alpha_PDopant = 3.0370e-08 # [eV cm] g_PDopant = 4 # [1] 128 Xsec_PDopant = 1.0000e-12 # [cm^2/sec] * Phosphorus "N-type Dopant" E_P_0 = 0.6 # [eV] alpha_P = 3.037e-08 #[eV cm] g_P = 6 Xsec_P = 1e-12 # [cm^2/sec]} Bandgap { Chi0 = 1.300 # [eV] Bgn2Chi = 0.500 # [1] Eg0 = 5.4820 # [eV] alpha = 1.00297 # [eV K^-1] beta = 3922915.6 # [K] Tpar = 81.9303 # [K]} eDOSMass { Formula = 2 # [1] * Nc(T) = Nc300 * (T/300)^3/2 Nc300 = 2.540000e+20 # [cm-3] } hDOSMass {Formula = 2 # [1] * Nv(T) = Nv300 * (T/300)^3/2 Nv300 = 2.540000e+19 # [cm-3]} ConstantMobility: { * mu_const = mumax (T/T0)^(-Exponent) mumax = 4500 , 3800 # [cm^2/(Vs)] * Exponent = 1.55 , 1.55 # [1] * (T < 343K) Exponent = 3.40 , 3.40 # [1] * (T >= 343K)} DopingDependence: { formula = 1 , 1 # [1] mumin1 = 0 , 105 # [cm^2/Vs] mumin2 = 0 , 105 # [cm^2/Vs] mu1 = 0 , 50 # [cm^2/Vs] Pc = 0 , 0.0 # [cm^3] Cr = 1 , 1.0000e+14 # [cm^3] Cs = 0 , 1.0000e+14 # [cm^3] alpha = 0 , 0.610 # [1] beta = 0 , 5 # [1]} UniBoDopingDependence: { * Cr=Cr (T/T0)^Cr_exp * Cr2=Cr2 (T/T0)^Cr2_exp * Cs=Cs (T/T0)^Cs_exp mumax= 1.4410e+03 , = 2.45 , Exponent = -1.1000e-01 , Exponent2 = 55 , 90 mumin1 mumin2 = 1.3200e+02 , mumin1_exp = 0.6 , 1.3 # [1] 2000 # [cm^2/(Vs)] -2.95 # [1] -2.529 # [1] # [cm^2/Vs] 44 # [cm^2/Vs] 129 = 0.5 , 2 = 1.25 , = 0.0000e+00 , = 8.9000e+16 , = 1.2200e+17 , = 2.9000e+20 , = 7.0000e+20 , mumin2_exp = 1.3 , 0.7 28.2 mu1 = 42.4 , mu2 = 73.5 , 28.2 mu1_exp mu2_exp Pc Cr Cr2 Cs Cs2 Cr_exp = 3.65 , Cr2_exp Cs_exp alpha = 0.68 , beta = 0.72 , 2.2 = 2.65 , = 0.0000e+00 , # [1] # [1] # [cm^2/Vs] # [cm^2/Vs] # [1] 0.8 0.0000e+00 # [cm^3] 1.3000e+18 # [cm^3] # [cm^3] 3.00e+14 1.1000e+18 # [cm^3] 6.1000e+20 # [cm^3] # [1] 3.1 # [1] 6.2 # [1] 0.77 # [1] 0.719 # [1]} vanOverstraetendeMan * Impact Ionization: { * Hiraiwa/Kawarada--------------------------- a(low) = 4.620e+05 , 1.930e+05 # [1/cm] a(high) = 4.620e+05 , 1.930e+05 # [1/cm] b(low) = 7.590e+06 , 4.410e+06 # [V/cm] b(high) = 7.590e+06 , 4.410e+06 # [V/cm] E0 = 4.0000e+05 , 4.0000e+05 # [V/cm] *E0 = 1.00e+07 , 1.00e+07 # [V/cm] hbarOmega = 0.1656 , 0.1656 # [eV]} Hatakeyama * Impact Ionization: { a_0001 = 1.460e+05 , 6.100e+04 # [1/cm] a_1120 = 1.460e+05 , 6.100e+04 # [1/cm] b_0001 = 24.00e+06 , 13.94e+06 # [V/cm] b_1120 = 24.00e+06 , 13.94e+06 # [V/cm] hbarOmega = 0.1656 , 0.1656 # [eV] theta = 1 , 1 # Sets driving force to direction and magnitude (0 is direction only)} Scharfetter * relation and trap level for SRH recombination: { * tau = taumin + ( taumax - taumin ) / ( 1 + ( N/Nref )^gamma) * tau(T) = tau * ( (T/300)^Talpha ) (TempDep) * tau(T) = tau * exp( Tcoeff * ((T/300)-1) ) (ExpTempDep) taumin = 0.0000e+00 , 0.0000e+00 # [s] * NEED taumax = 1.0000e-9 , 1.0000e-9 # [s] * NEED Nref = 1.0000e+17 , 1.0000e+17 # [cm^(-3)] * NEED gamma = 1 , 1 # [1] Talpha = 0.0000e+00 , 0.0000e+00 # [1] Tcoeff = 0.0000e+00 , 0.0000e+00 # [1] Etrap = 0.0000e+00 # [eV] } Auger * coefficients: A = 1.0000e-30 , 1.0000e-30 # [cm^6/s] B = 0.0000e+00 , 0.0000e+00 # [cm^6/s] C = 0.0000e+00 , 0.0000e+00 # [cm^6/s] 130 # [1] # [1] # [1] = 2 , 2 = 4 , 4 = 1 , 1 H = 0.0000e+00 , 0.0000e+00 # [1] N0 = 1.0000e+18 , 1.0000e+18 # [cm^(-3)] } ThermionicEmission {A B C vel_org B_org = 4 , 4 C_org = 1 , 1 BarrierTunneling { g = 2, 2 mt = 0.48, 0.8 } SurfaceRecombination * surface SRH recombination: { * s = S0 ( 1 + Sref ( N/Nref )^gamma ) recombination velocity = 1.0000e+06 , # [1] # [1]} 1.0000e+06 # [cm/s] 1.0000e+03 # [cm/s] = 1.0000e+03 , S0 Sref = 1.0000e-03 # [1] Nref = 1.0000e+16 # [cm^(-3)] gamma = 1 # [1] Etrap = 0.0000e+00 # [eV]} Traps { G # [1] = 1 , 1 XsecFormula = 3 , 3 # [1] Xsec = 1.0000e-15 , 1.0000e-15 = 0.0000e+00 , a1 = 1 , 1 p1 = 0.0000e+00 , a2 = 1 , 1 p2 p0 = 1 , 1 VthFormula = 2 , 2 Vth = 2.0420e+07 , Jcoef = 0.0000e+00 , # [1] # [1] # [1] # [cm^2] 0.0000e+00 # [1] 0.0000e+00 # [1] # [1] 1.5626e+07 # [cm/s] 0.0000e+00 # [1] * TrapVolume, the Huang-Rhys factor, and the Phonon energy. TrapVolume = 1.0000e+15 # [um^3] HuangRhys = 3.5000e+00 # [1] PhononEnergy = 0.0680e+00 # [eV] # [1]}} alpha = 1 131 APPENDIX B – SBD COMMAND FILES The command files for the device creation (sde_dvs.cmd) and device simulations (sdevice_des.cmd) that were implemented in the TCAD Sentaurus simulations of diamond-based SBD devices. SDE_DVS.CMD ; 1. Geometry input variables ; These variables will be used to define how the device is drawn by the sde. ; Default units are (um). ;(define x1 2) ;(define y1 3) ; -------------------------------------------------------- ; 2. Doping ; These variables will be used to set the dopant density. (define p_plus_doping 1e20) (define p_minus_doping 3e16) ; -------------------------------------------------------- ; 3. Create geometry ; This section is where the structure of the device is drawn. Use variables from the first section (sdegeo:create-rectangle (position 0 -0.01 0) (position 21.3 0.0 0) "Moly" "metal") (sdegeo:create-rectangle (position 0 0.0 0) (position 21.3 0.0024 0) "Oxide" "oxide_layer") (sdegeo:create-rectangle (position 0 0.0024 0) (position 25 0.8 0) "Diamond" "p_minus_region") (sdegeo:create-rectangle (position 0 0.8 0) (position 39.4 1.9 0) "Diamond" "p_plus_region") (sdegeo:insert-vertex (position 21.3 0.0 0.0)) (sdegeo:insert-vertex (position 28.8 0.8 0.0)) ; -------------------------------------------------------- ; 4. Contacts (sdegeo:define-contact-set "Schottky" 4.0 (color:rgb 1.0 0.0 0.0 ) "##") (sdegeo:define-contact-set "Ohmic" 4.0 (color:rgb 0.0 0.0 1.0 ) "##") (sdegeo:set-current-contact-set "Schottky") (sdegeo:define-2d-contact (list (car (find-edge-id (position 10.65 0 0)))) "Schottky") (sdegeo:set-current-contact-set "Ohmic") (sdegeo:define-2d-contact (list (car (find-edge-id (position 34.1 0.8 0)))) "Ohmic") ; -------------------------------------------------------- ; 5. Doping ; This section defines doping profiles using variables from section 3 (sdedr:define-constant-profile "Const.PPD" "BoronActiveConcentration" p_plus_doping) (sdedr:define-constant-profile "Const.PMD" "BoronActiveConcentration" p_minus_doping) (sdedr:define-constant-profile "Const.PMD2" "BoronActiveConcentration" p_minus_doping) (sdedr:define-constant-profile-region "PlaceCD.PPD" "Const.PPD" "p_plus_region" ) (sdedr:define-constant-profile-region "PlaceCD.PMD" "Const.PMD" "p_minus_region" ) (sdedr:define-constant-profile-region "PlaceCD.PMD2" "Const.PMD2" "interface" ) ; -------------------------------------------------------- ; 6. Mesh ; This section defines the coarse mesh density for the entire area 132 ; group them by categories ;(sdedr:define-refeval-window "RefEvalWin.all" "Rectangle"(position 0 -0.01 0)(position 15 3 0)) ; Draws the mesh window (sdedr:define-refinement-size "Ref.PPD" 0.5 0.2 0.5 0.2 ) (sdedr:define-refinement-size "Ref.PMD" 0.5 0.2 0.5 0.2 ) (sdedr:define-refinement-size "Ref.PMD2" 0.5 0.2 0.5 0.2 ) ;(sdedr:define-refinement-placement "PlaceRF.all" "RefDef.all" "RefWin.all" ) ; Places the refinement function onto the window (sdedr:define-refinement-function "Ref.PPD" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-function "Ref.PMD" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-function "Ref.PMD2" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-region "RefPlace.PPD" "Ref.PPD" "p_plus_region" ) (sdedr:define-refinement-region "RefPlace.PMD" "Ref.PMD" "p_minus_region" ) (sdedr:define-refinement-region "RefPlace.PMD2" "Ref.PMD2" "interface" ) ;--------------------------------------------------------- ; 7. Refinement Regions (sdedr:define-refeval-window "RefEvalWin_SC_PMD1" "Rectangle" (position 0 0.005 0) (position 21.3 0.2 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_PMD2" "Rectangle" (position 0 0.5 0) (position 25 0.8 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_PPD1" "Rectangle" (position 0 0.8 0) (position 39.4 1.0 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_OL1" "Rectangle" (position 0 -0.01 0) (position 21.3 0.005 0) ) (sdedr:define-refinement-size "Ref.SC1" 0.0250 0.05 0.0250 0.05 ) ;Sets the ref-function for the density of the mesh in the refinement window (x_max y_max x_min y_min) (sdedr:define-refinement-size "Ref.SC2" 0.2 0.1 0.2 0.1 ) (sdedr:define-refinement-size "Ref.SC3" 0.0213 0.0005 0.0213 0.0005 ) (sdedr:define-refinement-placement "RefPlace.SC_PMD1" "Ref.SC1" "RefEvalWin_SC_PMD1" ) (sdedr:define-refinement-placement "RefPlace.SC_PMD2" "Ref.SC2" "RefEvalWin_SC_PMD2" ) (sdedr:define-refinement-placement "RefPlace.SC_PPD1" "Ref.SC2" "RefEvalWin_SC_PPD1" ) (sdedr:define-refinement-placement "RefPlace.SC_OL1" "Ref.SC3" "RefEvalWin_SC_OL1" ) ;Places the refinement function onto the window ;---------------------------------------------------------------------- ; 8. Save CMD file (sdedr:write-cmd-file "model.cmd") ;Saving the model (sde:save-model "model") ;Meshing the device structure (sde:build-mesh "snmesh" "" "model_input") SDEVICE_DES.CMD #define _EQUATIONSET_ Poisson Electron Hole eQuantumPotential hQuantumPotential 133 #define _Vdd_ 10. #define _Idmax_ 1e-1 File{ * ------------------------------------------------------------- Grid = "./model_input_msh.tdr" Plot = "./output/SchottkyDiode.tdr" Current = "./output/SchottkyDiode_IV_curve.plt" * ------------------------------------------------------------- Parameter = "./material_files/materials.par" Output = "./log/SchottkyDiode_log" } Electrode{ { Name="Schottky" Schottky Voltage=0 Workfunction=4.7 } { Name="Ohmic" Voltage=0 Resist=6500} } Physics { AreaFactor = 61.03 Hydro Temperature = 300 EffectiveIntrinsicDensity() Fermi Mobility(DopingDependence Enormal HighFieldSaturation) IncompleteIonization(Dopants = "BoronActiveConcentration") Recombination(SRH(DopingDep) hAvalanche(vanOverstraetendeMan) eAvalanche(vanOverstraetendeMan) ) } Physics (MaterialInterface = "Moly/Oxide"){ Thermionic Recombination(surfaceSRH hBarrierTunneling(Band2Band)) } Physics (MaterialInterface = "Oxide/Diamond"){ Thermionic Recombination(hBarrierTunneling(Band2Band)) } Physics (Material = "Oxide"){ Traps (Acceptor Conc=5e13 fromValBand EnergyMid=1.5 EnergySig=2 Uniform eXSection=1e-15 hXsection=1e-9 ) } Plot { eDensity hDensity eCurrent hCurrent Potential SpaceCharge ElectricField/Vector ElectricField eMobility hMobility eVelocity hVelocity nSiliconActiveConcentration NitrogenActiveConcentration NitrogenConcentration eQuasiFermi hQuasiFermi BoronActiveConcentration eQuasiFermiEnergy hQuasiFermiEnergy eQuasiFermiPotential hQuasiFermiPotential 134 eGradQuasiFermi/Vector hGradQuasiFermi/Vector eEparallel hEparallel eENormal hENormal BandGap BandGapNarrowing Affinity ConductionBand ValenceBand Temperature eIonIntegral hIonIntegral MeanIonIntegral AvalancheGeneration eAlphaAvalanche hAlphaAvalanche hBarrierTunneling eBarrierTunneling NonLocal SRHRecombination eQuantumPotential hQuantumPotential } Math { *ComputeIonizationIntegrals *BreakAtIonIntegral sdevice CNormPrint *NewtonPlot (Error MinError Residual) NumberOfThreads=4 Extrapolate Iterations=50 NotDamped=200 RhsFactor=1e60 RhsMax=1e60 RhsMin=1e-30 Digits=12 UpdateMax=1e220 ExtendedPrecision RelErrControl ErrRef(electron)=1.e-27 ErrRef(hole)=1.e-27 eDrForceRefDens=1 hDrForceRefDens=1 CDensityMin=1e-25 } Math (MaterialInterface = "Moly/Oxide") { NonLocal(Length=1e-6) Digits(NonLocal)=12 EnergyResolution(NonLocal)=0.001 } Math (MaterialInterface = "Oxide/Diamond") { 135 NonLocal(Length=1e-6) Digits(NonLocal)=12 EnergyResolution(NonLocal)=0.001 } Solve { Coupled(Iterations=300 LineSearchDamping=0.01){ Poisson Hole} Coupled{ Poisson Hole Electron} NewCurrentFile="" Quasistationary( InitialStep=1e-1 Increment=5.00 MinStep=1e-8 MaxStep=2.0 Goal{ Name="Ohmic" Voltage=_Vdd_ } ){ Coupled{ _EQUATIONSET_ } CurrentPlot(Time=(Range=(0 1) Intervals=400)) Plot(FilePrefix= "./output/HJDiode_0V" When(Contact = "Ohmic" voltage = 0.05 )) Plot(FilePrefix= "./output/HJDiode_1V" When(Contact = "Ohmic" voltage = 1.0 )) Plot(FilePrefix= "./output/HJDiode_2V" When(Contact = "Ohmic" voltage = 2.0 )) Plot(FilePrefix= "./output/HJDiode_3V" When(Contact = "Ohmic" voltage = 3.0 )) Plot(FilePrefix= "./output/HJDiode_4V" When(Contact = "Ohmic" voltage = 4.0 )) Plot(FilePrefix= "./output/HJDiode_5V" When(Contact = "Ohmic" voltage = 5.0 )) Plot(FilePrefix= "./output/HJDiode_6V" When(Contact = "Ohmic" voltage = 6.0 )) Plot(FilePrefix= "./output/HJDiode_7V" When(Contact = "Ohmic" voltage = 7.0 )) Plot(FilePrefix= "./output/HJDiode_8V" When(Contact = "Ohmic" voltage = 8.0 )) Plot(FilePrefix= "./output/HJDiode_9V" When(Contact = "Ohmic" voltage = 9.0 )) Plot(FilePrefix= "./output/HJDiode_10V" When(Contact = "Ohmic" voltage = 10.0 )) }} 136 APPENDIX C – HETEROJUNCTION PN DIODE COMMAND FILES The command files for the device creation (sde_dvs.cmd) and device simulations (sdevice_des.cmd) that were implemented in the TCAD Sentaurus simulations of Ga2O3/Diamond heterojunction pn diode. SDE_DVS.CMD ; -------------------------------------------------------- ; 1. Geometry input variables ; These variables will be used to define how the device is drawn by the sde. ; Default units are (um). ;(define x1 2) ;(define y1 3) ; -------------------------------------------------------- ; 2. Doping ; These variables will be used to set the dopant density. (define n_plus_doping 2e18) (define p_plus_doping 1e20) (define p_minus_doping 1e16) ; -------------------------------------------------------- ; 3. Create geometry ; This section is where the structure of the device is drawn. Use variables from the first section (sdegeo:create-rectangle (position 0 0 0) (position 8 0.3 0) "Ga2o3" "n_plus_region") (sdegeo:create-rectangle (position 0 0.3 0) (position 10 1.3 0) "Diamond" "p_minus_region") (sdegeo:create-rectangle (position 0 1.3 0) (position 15 1.6 0) "Diamond" "p_plus_region") (sdegeo:insert-vertex (position 4.0 0.0 0.0)) (sdegeo:insert-vertex (position 11.0 1.3 0.0)) ; -------------------------------------------------------- ; 4. Contacts ; This section defines the contact name/color and its position on the structure. (sdegeo:define-contact-set "N-Contact" 4.0 (color:rgb 1.0 0.0 0.0 ) "##") (sdegeo:define-contact-set "P-Contact" 4.0 (color:rgb 0.0 0.0 1.0 ) "##") (sdegeo:set-current-contact-set "N-Contact") (sdegeo:define-2d-contact (list (car (find-edge-id (position 2 0 0)))) "N-Contact") (sdegeo:set-current-contact-set "P-Contact") (sdegeo:define-2d-contact (list (car (find-edge-id (position 13 1.3 0)))) "P-Contact") ; -------------------------------------------------------- ; 5. Doping ; This section defines doping profiles using variables from section 3 (sdedr:define-constant-profile "Const.NPD" "nSiliconActiveConcentration" n_plus_doping) (sdedr:define-constant-profile "Const.PPD" "BoronActiveConcentration" p_plus_doping) (sdedr:define-constant-profile "Const.PMD" "BoronActiveConcentration" p_minus_doping) (sdedr:define-constant-profile-region "PlaceCD.NPD" "Const.NPD" "n_plus_region" ) (sdedr:define-constant-profile-region "PlaceCD.PPD" "Const.PPD" "p_plus_region" ) (sdedr:define-constant-profile-region "PlaceCD.PMD" "Const.PMD" "p_minus_region" ) ; -------------------------------------------------------- 137 ; 6. Mesh ; This section defines the coarse mesh density for the entire area ; group them by categories ;(sdedr:define-refeval-window "RefEvalWin.all" "Rectangle"(position 0 0 0)(position 15 3 0)) ; Draws the mesh window (sdedr:define-refinement-size "Ref.NPD" 0.5 0.2 0.5 0.2 ) (sdedr:define-refinement-size "Ref.PPD" 0.5 0.2 0.5 0.2 ) (sdedr:define-refinement-size "Ref.PMD" 0.5 0.2 0.5 0.2 ) ;(sdedr:define-refinement-placement "PlaceRF.all" "RefDef.all" "RefWin.all" ) ; Places the refinement function onto the window (sdedr:define-refinement-function "Ref.NPD" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-function "Ref.PPD" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-function "Ref.PMD" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-region "RefPlace.NPD" "Ref.NPD" "n_plus_region" ) (sdedr:define-refinement-region "RefPlace.PPD" "Ref.PPD" "p_plus_region" ) (sdedr:define-refinement-region "RefPlace.PMD" "Ref.PMD" "p_minus_region" ) ;--------------------------------------------------------- ; 7. Refinement Regions ; This section defines areas of increased mesh density. Use these sparingly when simulation won't ; converge or results are incorrect due to aliasing. (sdedr:define-refeval-window "RefEvalWin_SC_NPD1" "Rectangle" (position 0 0 0) (position 8 0.02 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_NPD2" "Rectangle" (position 0 0.28 0) (position 10.0 0.3 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_PMD1" "Rectangle" (position 0 0.3 0) (position 10 0.32 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_PMD2" "Rectangle" (position 0 0.98 0) (position 10 1.3 0) ) ;(sdedr:define-refeval-window "RefEvalWin_SC_PMD3" "Rectangle" (position 0 0.3 0) (position 10 1.3 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_PPD1" "Rectangle" (position 0 1.3 0) (position 15 1.32 0) ) (sdedr:define-refinement-size "Ref.SC1" 0.008 0.005 0.016 0.01 ) ;Sets the ref-function for the density of the mesh in the refinement window (x_max y_max x_min y_min) (sdedr:define-refinement-size "Ref.SC2" 0.4 0.01 0.4 0.05 ) (sdedr:define-refinement-placement "RefPlace.SC_NPD1" "Ref.SC2" "RefEvalWin_SC_NPD1" ) (sdedr:define-refinement-placement "RefPlace.SC_NPD2" "Ref.SC1" "RefEvalWin_SC_NPD2" ) (sdedr:define-refinement-placement "RefPlace.SC_PMD1" "Ref.SC1" "RefEvalWin_SC_PMD1" ) (sdedr:define-refinement-placement "RefPlace.SC_PMD2" "Ref.SC2" "RefEvalWin_SC_PMD2" ) 138 ;(sdedr:define-refinement-placement "RefPlace.SC_PMD3" "Ref.SC2" "RefEvalWin_SC_PMD3" ) (sdedr:define-refinement-placement "RefPlace.SC_PPD1" "Ref.SC2" "RefEvalWin_SC_PPD1" ) ;Places the refinement function onto the window ;---------------------------------------------------------------------- ; 8. Save CMD file ; Don't edit this section. Just know that the mesh file for sdevice generated by this file ; is given by the final field in quotes "model_input" and generates in the folder as ; "model_input_msh.tdr" (sdedr:write-cmd-file "model.cmd") ;Saving the model (sde:save-model "model") ;Meshing the device structure (sde:build-mesh "snmesh" "-AI" "model_input") SDEVICE_DES.CMD #define _EQUATIONSET_ Poisson Electron Hole eQuantumPotential hQuantumPotential #define _Vdd_ 10 #define _Idmax_ 1e-5 File{ * ------------------------------------------------------------- Grid = "./model_input_msh.tdr" Plot = "./output/ga2o3SchottkyVerticalDiode1.tdr" Current = "./output/ga2o3SchottkyVerticalDiode1_IV_curve.plt" *TrappedCarPlotFile = "./output/Traps.plt" * ------------------------------------------------------------- Parameter = "./material_files/materials.par" Output = "./log/ga2o3SchottkyVerticalDiode1_log" } Electrode{ { Name="N-Contact" Voltage=0 } { Name="P-Contact" Voltage=0 } } Thermode { { Name="P-Contact" Temperature=300 SurfaceResistance=0.010 } } Physics { Hydro Temperature = 300 EffectiveIntrinsicDensity() Fermi Mobility(DopingDependence Enormal eHighFieldSaturation hHighFieldSaturation) eBarrierTunneling "NLM1" (Band2Band=none) hBarrierTunneling "NLM2" (Band2Band=none) } Physics (Material= "Ga2o3"){ 139 IncompleteIonization(Dopants = "nSiliconActiveConcentration") Recombination(SRH(DopingDep) hAvalanche(Hatakeyama) eAvalanche(Hatakeyama)) Traps ( ) } Physics (Material= "Diamond"){ IncompleteIonization(Dopants = "BoronActiveConcentration") Recombination(SRH(DopingDep) hAvalanche(vanOverstraetendeMan) eAvalanche(vanOverstraetendeMan)) } Physics (MaterialInterface = "Ga2o3/Diamond"){ HeteroInterface Thermionic Recombination( SurfaceSRH SRH(ElectricField ( Lifetime= Hurkx ))) Traps ( (Donor Conc=1e11 fromMidBandGap EnergyMid=-0.5 EnergySig=0.5 Uniform eXsection=1e-9 hXsection=1e-6 ) (Acceptor Conc=3e13 fromMidBandGap EnergyMid=2 EnergySig=0.5 Uniform eXsection=1e-6 hXsection=1e-9 ) ) } Plot { eDensity hDensity eCurrent hCurrent Potential SpaceCharge ElectricField/Vector ElectricField eMobility hMobility eVelocity hVelocity nSiliconActiveConcentration NitrogenActiveConcentration NitrogenConcentration eQuasiFermi hQuasiFermi BoronActiveConcentration eQuasiFermiEnergy hQuasiFermiEnergy eQuasiFermiPotential hQuasiFermiPotential eGradQuasiFermi/Vector hGradQuasiFermi/Vector eEparallel hEparallel eENormal hENormal eTrappedCharge hTrappedCharge eInterfaceTrappedCharge hInterfaceTrappedCharge eGapStatesRecombination hGapStatesRecombination SurfaceRecombination TotalRecombination eSRHRecombination hSRHRecombination tSRHRecombination BandGap BandGapNarrowing Affinity ConductionBand ValenceBand Temperature eIonIntegral hIonIntegral MeanIonIntegral 140 AvalancheGeneration eAlphaAvalanche hAlphaAvalanche hBarrierTunneling eBarrierTunneling NonLocal SRHRecombination eQuantumPotential hQuantumPotential } Math { *ComputeIonizationIntegrals *BreakAtIonIntegral CNormPrint *NewtonPlot (Error MinError Residual) NumberOfThreads=4 Extrapolate Iterations=100 NotDamped=200 RhsFactor=1e120 RhsMax=1e30 RhsMin=1e-30 NoSRHperPotential Digits=7 UpdateMax=1e220 ExtendedPrecision(128) RelErrControl ErrRef(electron)=1.e-27 ErrRef(hole)=1.e-27 eDrForceRefDens=1 hDrForceRefDens=1 CDensityMin=1e-25 *NonLocalLengthLimit=21e-4 } Math { Nonlocal "NLM1" ( MaterialInterface = "Ga2o3/Diamond" Length=1e-6 Digits=7 EnergyResolution=0.001 ) } Math { Nonlocal "NLM2" ( MaterialInterface = "Ga2o3/Diamond" Length=1e-6 141 Digits=7 EnergyResolution=0.001 ) } Solve { Coupled(Iterations=100 LineSearchDamping=0.0001){ Poisson} Coupled{ Poisson Electron} Coupled{ Poisson Electron Hole} *Coupled{ Poisson eQuantumPotential hQuantumPotential} *Coupled{ Poisson eQuantumPotential hQuantumPotential Electron} *Coupled{ Poisson eQuantumPotential hQuantumPotential Electron Hole} NewCurrentFile="" Quasistationary( InitialStep=1e-1 Increment=5.00 MinStep=1e-10 MaxStep=1.0 Goal{ Name="P-Contact" Voltage=_Vdd_ } ){ Coupled{ _EQUATIONSET_ } CurrentPlot(Time=(Range=(0 1) Intervals=400)) Plot(FilePrefix= "./output/HJDiode_0V" When(Contact = "P-Contact" voltage = 0.005 )) Plot(FilePrefix= "./output/HJDiode_1V" When(Contact = "P-Contact" voltage = 1.0 )) Plot(FilePrefix= "./output/HJDiode_2V" When(Contact = "P-Contact" voltage = 2.0 )) Plot(FilePrefix= "./output/HJDiode_3V" When(Contact = "P-Contact" voltage = 3.0 )) Plot(FilePrefix= "./output/HJDiode_4V" When(Contact = "P-Contact" voltage = 4.0 )) Plot(FilePrefix= "./output/HJDiode_5V" When(Contact = "P-Contact" voltage = 5.0 )) Plot(FilePrefix= "./output/HJDiode_6.0V" When(Contact = "P-Contact" voltage = 6.0 )) Plot(FilePrefix= "./output/HJDiode_7.0V" When(Contact = "P-Contact" voltage = 7.0 )) Plot(FilePrefix= "./output/HJDiode_8.0V" When(Contact = "P-Contact" voltage = 8.0 )) Plot(FilePrefix= "./output/HJDiode_9.0V" When(Contact = "P-Contact" voltage = 9.0 )) Plot(FilePrefix= "./output/HJDiode_10.0V" When(Contact = "P-Contact" voltage = 10.0 )) } } 142 APPENDIX D – MESFET COMMAND FILES The command files for the device creation (sde_dvs.cmd) and device simulations (sdevice_des.cmd) that were implemented in the TCAD Sentaurus simulations of diamond-based MESFETs. SDE_DVS.CMD ; -------------------------------------------------------- ; 1. Geometry input variables ; These variables will be used to define how the device is drawn by the sde. ; Default units are (um). ; -------------------------------------------------------- ; 2. Doping ; These variables will be used to set the dopant density. (define p_plus_doping 1e20) (define p_minus_doping 1.06e16) ; -------------------------------------------------------- ; 3. Create geometry ; This section is where the structure of the device is drawn. Use variables from the first section (sdegeo:create-rectangle (position 0 0.4 0) (position 50 1.3 0) "Diamond" "channel") (sdegeo:create-rectangle (position 0 0.0 0) (position 10 0.4 0) "Diamond" "sourceregion") (sdegeo:create-rectangle (position 40 0.0 0) (position 50 0.4 0) "Diamond" "drainregion") (sdegeo:insert-vertex (position 10 0.0 0.0)) (sdegeo:insert-vertex (position 40 0.0 0.0)) (sdegeo:insert-vertex (position 15 0.4 0.0)) (sdegeo:insert-vertex (position 32 0.4 0.0)) ; -------------------------------------------------------- ; 4. Contacts ; This section defines the contact name/color and its position on the structure. (sdegeo:define-contact-set "drain" 4.0 (color:rgb 1.0 0.0 0.0 ) "##") (sdegeo:define-contact-set "source" 4.0 (color:rgb 0.0 0.0 1.0 ) "##") (sdegeo:define-contact-set "gate" 4.0 (color:rgb 0.0 1.0 0.0 ) "##") (sdegeo:set-current-contact-set "source") (sdegeo:define-2d-contact (list (car (find-edge-id (position 5 0 0)))) "source") (sdegeo:set-current-contact-set "drain") (sdegeo:define-2d-contact (list (car (find-edge-id (position 45 0 0)))) "drain") (sdegeo:set-current-contact-set "gate") (sdegeo:define-2d-contact (list (car (find-edge-id (position 20 0.4 0)))) "gate") ; -------------------------------------------------------- ; 5. Doping ; This section defines doping profiles using variables from section 3 143 (sdedr:define-constant-profile "Const.Chan1" "BoronActiveConcentration" p_minus_doping) *(sdedr:define-constant-profile "Const.Chan2" "NitrogenActiveConcentration" n_minus_doping) (sdedr:define-constant-profile "Const.Drain" "BoronActiveConcentration" p_plus_doping) (sdedr:define-constant-profile "Const.Source" "BoronActiveConcentration" p_plus_doping) (sdedr:define-constant-profile-region "PlaceCD.Chan1" "Const.Chan1" "channel" ) *(sdedr:define-constant-profile-region "PlaceCD.Chan2" "Const.Chan2" "channel" ) (sdedr:define-constant-profile-region "PlaceCD.Drain" "Const.Drain" "drainregion" ) (sdedr:define-constant-profile-region "PlaceCD.Source" "Const.Source" "sourceregion" ) ; -------------------------------------------------------- ; 6. Mesh ; This section defines the coarse mesh density for the entire area ; group them by categories ;(sdedr:define-refeval-window "RefEvalWin.all" "Rectangle"(position 0 -0.01 0)(position 15 3 0)) ; Draws the mesh window (sdedr:define-refinement-size "Ref.Chan" 0.5 0.2 0.5 0.2 ) (sdedr:define-refinement-size "Ref.Drain" 0.5 0.2 0.5 0.2 ) (sdedr:define-refinement-size "Ref.Source" 0.5 0.2 0.5 0.2 ) ;(sdedr:define-refinement-placement "PlaceRF.all" "RefDef.all" "RefWin.all" ) ; Places the refinement function onto the window (sdedr:define-refinement-function "Ref.Chan" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-function "Ref.Drain" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-function "Ref.Source" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-region "RefPlace.Chan" "Ref.Chan" "channel" ) (sdedr:define-refinement-region "RefPlace.Drain" "Ref.Drain" "drainregion" ) (sdedr:define-refinement-region "RefPlace.Source" "Ref.Source" "sourceregion" ) ;--------------------------------------------------------- ; 7. Refinement Regions ; This section defines areas of increased mesh density. Use these sparingly when simulation won't ; converge or results are incorrect due to aliasing. (sdedr:define-refeval-window "RefEvalWin_SC_Chan" "Rectangle" (position 0 0.4 0) (position 50 0.8 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_Drain" "Rectangle" (position 0 0.3 0) (position 10 0.4 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_Source" "Rectangle" (position 40 0.3 0) (position 50 0.4 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_Drain2" "Rectangle" (position 0 0.0 0) (position 10 0.1 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_Source2" "Rectangle" (position 40 0.0 0) (position 50 0.1 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_Schottky" "Rectangle" (position 10 0.4 0) (position 40 0.5 0) ) 144 (sdedr:define-refinement-size "Ref.SC1" 0.1 0.04 0.1 0.04 ) ;Sets the ref-function for the density of the mesh in the refinement window (x_max y_max x_min y_min) (sdedr:define-refinement-size "Ref.SC2" 0.2 0.08 0.2 0.08 ) ;(sdedr:define-refinement-size "Ref.SC3" 0.025 0.0005 0.025 0.0005 ) (sdedr:define-refinement-placement "RefPlace.SC_Chan" "Ref.SC2" "RefEvalWin_SC_Chan" ) (sdedr:define-refinement-placement "RefPlace.SC_Drain" "Ref.SC2" "RefEvalWin_SC_Drain" ) (sdedr:define-refinement-placement "RefPlace.SC_Source" "Ref.SC2" "RefEvalWin_SC_Source" ) (sdedr:define-refinement-placement "RefPlace.SC_Drain2" "Ref.SC1" "RefEvalWin_SC_Drain2" ) (sdedr:define-refinement-placement "RefPlace.SC_Source2" "Ref.SC1" "RefEvalWin_SC_Source2" ) (sdedr:define-refinement-placement "RefPlace.SC_Schottky" "Ref.SC1" "RefEvalWin_SC_Schottky" ) ;Places the refinement function onto the window ;---------------------------------------------------------------------- ; 8. Save CMD file (sdedr:write-cmd-file "model.cmd") ;Saving the model (sde:save-model "model") ;Meshing the device structure (sde:build-mesh "snmesh" "" "model_input") SDEVICE_DES.CMD #define _EQUATIONSET_ Poisson Electron Hole eQuantumPotential hQuantumPotential #define _Vdd_ -5. #define _Idmax_ 1e-5 File{ * ------------------------------------------------------------- Grid = "./model_input_msh.tdr" Plot = "./output/MESFET.tdr" Current = "./output/MESFET_IV_curve.plt" * ------------------------------------------------------------- Parameter = "./material_files/materials.par" Output = "./log/MESFET_log" } Electrode{ { Name="gate" Schottky Voltage=0 Barrier=3.78} { Name="drain" Voltage=0 } { Name="source" Voltage=0} } Physics { AreaFactor=%Area% Hydro 145 Temperature = 300 EffectiveIntrinsicDensity() Fermi Mobility(DopingDependence(UniBo) Enormal HighFieldSaturation) IncompleteIonization(Dopants = "BoronActiveConcentration NitrogenActiveConcentration") Recombination(SRH(DopingDep) hAvalanche(vanOverstraetendeMan) eAvalanche(vanOverstraetendeMan) ) } Plot { eDensity hDensity eCurrent hCurrent Potential SpaceCharge ElectricField/Vector ElectricField eMobility hMobility eVelocity hVelocity nSiliconActiveConcentration NitrogenActiveConcentration NitrogenConcentration eQuasiFermi hQuasiFermi BoronActiveConcentration PhosphorusActiveConcentration eQuasiFermiEnergy hQuasiFermiEnergy eQuasiFermiPotential hQuasiFermiPotential eGradQuasiFermi/Vector hGradQuasiFermi/Vector eEparallel hEparallel eENormal hENormal BandGap BandGapNarrowing Affinity ConductionBand ValenceBand Temperature eIonIntegral hIonIntegral MeanIonIntegral AvalancheGeneration eAlphaAvalanche hAlphaAvalanche hBarrierTunneling eBarrierTunneling NonLocal SRHRecombination eQuantumPotential hQuantumPotential } Math { *ComputeIonizationIntegrals *BreakAtIonIntegral CNormPrint *NewtonPlot (Error MinError Residual) NumberOfThreads=4 Extrapolate Iterations=100 NotDamped=200 RhsFactor=1e60 RhsMax=1e60 RhsMin=1e-30 146 Digits=5 UpdateMax=1e220 ExtendedPrecision RelErrControl ErrRef(electron)=1.e-27 ErrRef(hole)=1.e-27 eDrForceRefDens=1 hDrForceRefDens=1 CDensityMin=1e-25 } Solve { Coupled(Iterations=300 LineSearchDamping=0.01){ Poisson Hole} Coupled{ Poisson Hole Electron} Save (FilePrefix="GateIV") NewCurrentFile="" Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="gate" Voltage=0 }) {Coupled{ Poisson Hole Electron}} Save (FilePrefix="vg0") Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="gate" Voltage=1 }) {Coupled{ Poisson Hole Electron}} Save (FilePrefix="vgp1") Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="gate" Voltage=2 }) {Coupled{ Poisson Hole Electron}} Save (FilePrefix="vgp2") Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="gate" Voltage=3 }) {Coupled{ Poisson Hole Electron}} Save (FilePrefix="vgp3") Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 147 Goal{ Name="gate" Voltage=4 }) {Coupled{ Poisson Hole Electron}} Save (FilePrefix="vgp4") Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="gate" Voltage=5 }) {Coupled{ Poisson Hole Electron}} Save (FilePrefix="vgp5") Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="gate" Voltage=6 }) {Coupled{ Poisson Hole Electron}} Save (FilePrefix="vgp6") Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="gate" Voltage=7 }) {Coupled{ Poisson Hole Electron}} Save (FilePrefix="vgp7") Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="gate" Voltage=8 }) {Coupled{ Poisson Hole Electron}} Save (FilePrefix="vgp8") Load(FilePrefix="GateIV") NewCurrentPrefix="GateIV_" Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="source" Voltage=5}) {Coupled{ Poisson Hole Electron} CurrentPlot(Time=(Range=(0 1) Intervals=25)) Plot(FilePrefix= "./output/MESFET_0V_GateIV" When(Contact = "source" voltage = 0.05 )) Plot(FilePrefix= "./output/MESFET_10V_GateIV" When(Contact = "source" voltage = 5.0 )) } 148 Load(FilePrefix="vg0") NewCurrentPrefix="vg0_" Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="drain" Voltage=-10}) {Coupled{ Poisson Hole Electron} CurrentPlot(Time=(Range=(0 1) Intervals=50)) Plot(FilePrefix= "./output/MESFET_0V_G0V" When(Contact = "drain" voltage = -0.05 )) Plot(FilePrefix= "./output/MESFET_10V_G0V" When(Contact = "drain" voltage = -10.0 )) } Load(FilePrefix="vgp1") NewCurrentPrefix="vgp1_" Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="drain" Voltage=-10}) {Coupled{ Poisson Hole Electron} CurrentPlot(Time=(Range=(0 1) Intervals=50)) Plot(FilePrefix= "./output/MESFET_0V_Gp1V" When(Contact = "drain" voltage = -0.05 )) Plot(FilePrefix= "./output/MESFET_10V_Gp1V" When(Contact = "drain" voltage = -10.0 )) } Load(FilePrefix="vgp2") NewCurrentPrefix="vgp2_" Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="drain" Voltage=-10}) {Coupled{ Poisson Hole Electron} CurrentPlot(Time=(Range=(0 1) Intervals=50)) Plot(FilePrefix= "./output/MESFET_0V_Gp2V" When(Contact = "drain" voltage = -0.05 )) Plot(FilePrefix= "./output/MESFET_10V_Gp2V" When(Contact = "drain" voltage = -10.0 )) } Load(FilePrefix="vgp3") NewCurrentPrefix="vgp3_" Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="drain" Voltage=-10}) {Coupled{ Poisson Hole Electron} CurrentPlot(Time=(Range=(0 1) Intervals=50)) Plot(FilePrefix= "./output/MESFET_0V_Gp3V" When(Contact = "drain" voltage = -0.05 )) 149 Plot(FilePrefix= "./output/MESFET_10V_Gp3V" When(Contact = "drain" voltage = -10.0 )) } Load(FilePrefix="vgp4") NewCurrentPrefix="vgp4_" Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="drain" Voltage=-10}) {Coupled{ Poisson Hole Electron} CurrentPlot(Time=(Range=(0 1) Intervals=50)) Plot(FilePrefix= "./output/MESFET_0V_Gp4V" When(Contact = "drain" voltage = -0.05 )) Plot(FilePrefix= "./output/MESFET_10V_Gp4V" When(Contact = "drain" voltage = -10.0 )) } Load(FilePrefix="vgp5") NewCurrentPrefix="vgp5_" Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="drain" Voltage=-10}) {Coupled{ Poisson Hole Electron} CurrentPlot(Time=(Range=(0 1) Intervals=50)) Plot(FilePrefix= "./output/MESFET_0V_Gp5V" When(Contact = "drain" voltage = -0.05 )) Plot(FilePrefix= "./output/MESFET_10V_Gp5V" When(Contact = "drain" voltage = -10.0 )) } Load(FilePrefix="vgp6") NewCurrentPrefix="vgp6_" Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="drain" Voltage=-10}) {Coupled{ Poisson Hole Electron} CurrentPlot(Time=(Range=(0 1) Intervals=50)) Plot(FilePrefix= "./output/MESFET_0V_Gp6V" When(Contact = "drain" voltage = -0.05 )) Plot(FilePrefix= "./output/MESFET_10V_Gp6V" When(Contact = "drain" voltage = -10.0 )) } Load(FilePrefix="vgp7") NewCurrentPrefix="vgp7_" Quasistationary( InitialStep=1e-1 Increment=10.00 150 MinStep=1e-15 MaxStep=10.0 Goal{ Name="drain" Voltage=-10}) {Coupled{ Poisson Hole Electron} CurrentPlot(Time=(Range=(0 1) Intervals=50)) Plot(FilePrefix= "./output/MESFET_0V_Gp7V" When(Contact = "drain" voltage = -0.05 )) Plot(FilePrefix= "./output/MESFET_10V_Gp7V" When(Contact = "drain" voltage = -10.0 )) } Load(FilePrefix="vgp8") NewCurrentPrefix="vgp8_" Quasistationary( InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=10.0 Goal{ Name="drain" Voltage=-10}) {Coupled{ Poisson Hole Electron} CurrentPlot(Time=(Range=(0 1) Intervals=50)) Plot(FilePrefix= "./output/MESFET_0V_Gp8V" When(Contact = "drain" voltage = -0.05 )) Plot(FilePrefix= "./output/MESFET_10V_Gp8V" When(Contact = "drain" voltage = -10.0 )) }} 151 APPENDIX E – V-JFET COMMAND FILES The command files for the device creation (sde_dvs.cmd) and device simulations (sdevice_des.cmd) that were implemented in the TCAD Sentaurus simulations of diamond-based V-JFET devices. SDE_DVS.CMD ; -------------------------------------------------------- ; 1. Geometry input variables ; These variables will be used to define how the device is drawn by the sde. ; Default units are (um). (define x1 10) (define x2 15) (define x3 16) (define y1 (- 0 3.2)) (define y2 (- 0 3)) (define y3 0.2) (define y4 1.2) (define y5 1.4) ; -------------------------------------------------------- ; 2. Doping ; These variables will be used to set the dopant density. (define p_plus_Doping 1e20) (define p_minus_Doping 1e16) (define n_plus_Doping 1e20) ; -------------------------------------------------------- ; 3. Create geometry ; This section is where the structure of the device is drawn. Use variables from the first section (sdegeo:create-rectangle (position x1 y2 0) (position x3 y1 0) "Diamond" "p_plus_Drain") (sdegeo:create-rectangle (position x1 0 0) (position x3 y2 0) "Diamond" "Region1") (sdegeo:create-rectangle (position 0 0 0) (position x1 y3 0) "Diamond" "n_plus_Out") (sdegeo:create-rectangle (position x1 0 0) (position x2 y3 0) "Diamond" "n_plus_In") (sdegeo:create-rectangle (position x2 0 0) (position x3 y3 0) "Diamond" "Region2") (sdegeo:create-rectangle (position x1 y3 0) (position x3 y4 0) "Diamond" "Region3") (sdegeo:create-rectangle (position x1 y4 0) (position x3 y5 0) "Diamond" "p_plus_Source") ; -------------------------------------------------------- ; 4. Contacts ; This section defines the contact name/color and its position on the structure. (sdegeo:define-contact-set "Gate" 4.0 (color:rgb 1.0 0.0 0.0 ) "##") (sdegeo:define-contact-set "Source" 4.0 (color:rgb 0.0 0.0 1.0 ) "##") (sdegeo:define-contact-set "Drain" 4.0 (color:rgb 0.0 0.0 1.0 ) "##") (sdegeo:define-2d-contact (find-edge-id (position (+ 0 (/ x1 2)) y3 0)) "Gate") 152 (sdegeo:define-2d-contact (find-edge-id (position (+ x1 5) y5 0)) "Source") (sdegeo:define-2d-contact (find-edge-id (position (+ x1 5) y1 0)) "Drain") ; -------------------------------------------------------- ; 5. Doping ; This section defines doping profiles using variables from section 3 (sdedr:define-constant-profile "Const.PPD" "BoronActiveConcentration" p_plus_Doping) (sdedr:define-constant-profile "Const.PPS" "BoronActiveConcentration" p_plus_Doping) (sdedr:define-constant-profile "Const.PM1" "BoronActiveConcentration" p_minus_Doping) (sdedr:define-constant-profile "Const.PM2" "BoronActiveConcentration" p_minus_Doping) (sdedr:define-constant-profile "Const.PM3" "BoronActiveConcentration" p_minus_Doping) (sdedr:define-constant-profile "Const.NPO" "PhosphorusActiveConcentration" n_plus_Doping) (sdedr:define-constant-profile "Const.NPI" "PhosphorusActiveConcentration" n_plus_Doping) (sdedr:define-constant-profile-region "PlaceCD.PPD" "Const.PPD" "p_plus_Drain" ) (sdedr:define-constant-profile-region "PlaceCD.PPS" "Const.PPS" "p_plus_Source" ) (sdedr:define-constant-profile-region "PlaceCD.PM1" "Const.PM1" "Region1" ) (sdedr:define-constant-profile-region "PlaceCD.PM2" "Const.PM2" "Region2" ) (sdedr:define-constant-profile-region "PlaceCD.PM3" "Const.PM3" "Region3" ) (sdedr:define-constant-profile-region "PlaceCD.NPO" "Const.NPO" "n_plus_Out" ) (sdedr:define-constant-profile-region "PlaceCD.NPI" "Const.NPI" "n_plus_In" ) ; -------------------------------------------------------- ; 6. Mesh (sdedr:define-refinement-size "Ref.PPD" 1 0.2 1 0.2 ) (sdedr:define-refinement-size "Ref.PM1" 1 0.2 1 0.2 ) (sdedr:define-refinement-size "Ref.NPO" 1 0.2 1 0.2 ) (sdedr:define-refinement-size "Ref.NPI" 1 0.2 1 0.2 ) (sdedr:define-refinement-size "Ref.PM2" 1 0.2 1 0.2 ) (sdedr:define-refinement-size "Ref.PM3" 1 0.2 1 0.2 ) (sdedr:define-refinement-size "Ref.PPS" 1 0.2 1 0.2 ) (sdedr:define-refinement-function "Ref.PPD" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-function "Ref.PM1" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-function "Ref.NPO" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-function "Ref.NPI" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-function "Ref.PM2" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-function "Ref.PM3" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-function "Ref.PPS" "DopingConcentration" "MaxTransDiff" 1) (sdedr:define-refinement-region "RefPlace.PPD" "Ref.PPD" "p_plus_Drain" ) (sdedr:define-refinement-region "RefPlace.PM1" "Ref.PM1" "Region1" ) (sdedr:define-refinement-region "RefPlace.NPO" "Ref.NPO" "n_plus_Out" ) (sdedr:define-refinement-region "RefPlace.NPI" "Ref.NPI" "n_plus_In" ) (sdedr:define-refinement-region "RefPlace.PM2" "Ref.PM2" "Region2" ) (sdedr:define-refinement-region "RefPlace.PM3" "Ref.PM3" "Region3" ) (sdedr:define-refinement-region "RefPlace.PPS" "Ref.PPS" "p_plus_Source" ) ;--------------------------------------------------------- ; 7. Refinement Regions (sdedr:define-refeval-window "RefEvalWin_SC_PM1" "Rectangle" (position x2 0 0) (position x3 y3 0) ) ;Draws the refinement window 153 (sdedr:define-refeval-window "RefEvalWin_SC_NPI" "Rectangle" (position x1 0 0) (position x2 y3 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_Top" "Rectangle" (position x1 y3 0) (position x3 (+ y3 0.2) 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_Bottom" "Rectangle" (position x1 0 0) (position x3 (- 0 0.2) 0) ) (sdedr:define-refeval-window "RefEvalWin_SC_NPO" "Rectangle" (position (- x1 0.3) 0 0) (position x1 y3 0) ) (sdedr:define-refinement-size "Ref.SC" 0.1 0.02 0.1 0.02 ) ;Sets the ref-function for the density of the mesh in the refinement window (x_max y_max x_min y_min) (sdedr:define-refinement-placement "RefPlace.SC_PM1" "Ref.SC" "RefEvalWin_SC_PM1" ) ;Places the refinement function onto the window (sdedr:define-refinement-placement "RefPlace.SC_NPI" "Ref.SC" "RefEvalWin_SC_NPI" ) (sdedr:define-refinement-placement "RefPlace.SC_Top" "Ref.SC" "RefEvalWin_SC_Top" ) (sdedr:define-refinement-placement "RefPlace.SC_Bottom" "Ref.SC" "RefEvalWin_SC_Bottom" ) (sdedr:define-refinement-placement "RefPlace.SC_NPO" "Ref.SC" "RefEvalWin_SC_NPO" ) ;---------------------------------------------------------------------- ; 8. Save CMD file ; Don't edit this section. Just know that the mesh file for sdevice generated by this file ; is given by the final field in quotes "model_input" and generates in the folder as ; "model_input_msh.tdr" (sdedr:write-cmd-file "model.cmd") ;Saving the model (sde:save-model "model") ;Meshing the device structure (sde:build-mesh "snmesh" "" "model_input") SDEVICE_DES.CMD File{ * ------------------------------------------------------------- Grid = "./model_input_msh.tdr" Plot = "./output/pn_junction.tdr" Current = "./output/pn_junction_IV_curve.plt" * ------------------------------------------------------------- Parameter = "./material_files/materials.par" Output = "./log/pn_junction_log" } Electrode{ {Name="Drain" voltage=0} {Name="Source" voltage=0} {Name="Gate" voltage=0 WorkFunction=5.1} } Thermode { { Name="Gate" Temperature=300 SurfaceResistance=0.010 } 154 } Physics{ Thermodynamic EffectiveIntrinsicDensity() IncompleteIonization(Dopants = "BoronActiveConcentration PhosphorusActiveConcentration") Mobility(DopingDep Enormal) Recombination(SRH(DopingDep) hAvalanche(Hatakeyama) eAvalanche(Hatakeyama)) *Traps(Donor Level EnergyMid=0 fromMidBandGap Conc=1e15 eXsection=1e-14 hXsection=1e-14) } Plot { eDensity hDensity eCurrent hCurrent Potential SpaceCharge ElectricField/Vector ElectricField eMobility hMobility eVelocity hVelocity BoronActiveConcentration BoronConcentration NitrogenActiveConcentration NitrogenConcentration eQuasiFermi hQuasiFermi PDopantConcentration PhosphorusConcentration eGradQuasiFermi/Vector hGradQuasiFermi/Vector eEparallel hEparallel eENormal hENormal BandGap BandGapNarrowing Affinity ConductionBand ValenceBand Temperature eIonIntegral hIonIntegral MeanIonIntegral AvalancheGeneration eAlphaAvalanche hAlphaAvalanche } Math { ComputeIonizationIntegrals BreakAtIonIntegral Number_Of_Threads=2 Cylindrical Extrapolate Iterations=75 NotDamped=50 RhsFactor=1e10 ExtendedPrecision(128) RhsMin=1e-25 Digits=25 UpdateMax=1e220 *CNormPrint ErrRef(Electron) = 1.e8 ErrRef(Hole) = 1.e8 155 } Solve { Coupled(Iterations=25){ Poisson } Coupled{ Poisson Hole } Coupled{ Poisson Electron Hole } Plot(FilePrefix= "./output/pn_junction_equalib") NewCurrentFile="" Quasistationary( # This section sets up the voltage sweep InitialStep=1e-1 Increment=10.00 MinStep=1e-15 MaxStep=1000.0 Goal{ Name="Drain" Voltage=10 }) # Set the target voltage here {Coupled{ Poisson Hole } CurrentPlot(Time=(Range=(0 1) Intervals=25)) Plot(FilePrefix= "./output/Drain_10V" When(Contact = "Drain" voltage = 10 ))} } 156